2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩23頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p>  江西理工大學(xué)應(yīng)用科學(xué)學(xué)院</p><p>  畢業(yè)設(shè)計(jì)(論文)外文資料翻譯</p><p>  系 : 信息工程系 </p><p>  專 業(yè): 通信工程 </p><p>  班 級(jí): 092 </p>

2、<p>  姓 名 彭凱華 </p><p>  學(xué) 號(hào): 08060409231 </p><p>  附 件: 1.外文資料翻譯譯文;2.外文原文。 </p><p>  附件一:外文資料翻譯譯文</p><p>  數(shù)字濾波器的仿真與實(shí)現(xiàn)</p&g

3、t;<p>  當(dāng)今,數(shù)字信號(hào)處理技術(shù)正飛速發(fā)展,它不但自成一門(mén)學(xué)科,更是以不同形式影響和滲透到其他學(xué)科;它與國(guó)民經(jīng)濟(jì)息息相關(guān),與國(guó)防建設(shè)緊密相連;它影響或改變著我們的生產(chǎn)、生活方式,因此受到人們的普遍關(guān)注。</p><p>  數(shù)字化、智能化和網(wǎng)絡(luò)化是當(dāng)代信息技術(shù)發(fā)展的大趨勢(shì),而數(shù)字化是智能化和網(wǎng)絡(luò)化的基礎(chǔ),實(shí)際生活中遇到的信號(hào)多種多樣,例如廣播信號(hào)、電視信號(hào)、雷達(dá)信號(hào)、通信信號(hào)、導(dǎo)航信號(hào)、射電天

4、文信號(hào)、控制信號(hào)、氣象信號(hào)、遙感遙測(cè)信號(hào),等等。上述信號(hào)大部分是模擬信號(hào),也有小部分?jǐn)?shù)字信號(hào)。模擬信號(hào)是自變量的連續(xù)函數(shù),自變量可以是一維的,也可以是二維或多維的。大多數(shù)情況下一維模擬信號(hào)的自變量是時(shí)間,經(jīng)過(guò)時(shí)間上的離散化(采樣)和幅度上的離散化(量化),這類(lèi)模擬信號(hào)便成為一維數(shù)字信號(hào)。因此,數(shù)字信號(hào)實(shí)際上是用數(shù)字序列表示的信號(hào),語(yǔ)音信號(hào)經(jīng)采樣和量化,得到的數(shù)字信號(hào)是一個(gè)一維離散的時(shí)間序列;而圖像信號(hào)經(jīng)采樣和量化后,得到的數(shù)字信號(hào)是一個(gè)

5、二維離散空間序列。數(shù)字信號(hào)處理,就是用數(shù)值計(jì)算的方法對(duì)數(shù)字序列進(jìn)行各種處理,把信號(hào)變換成符合需要的某種形式。例如,對(duì)數(shù)字信號(hào)經(jīng)過(guò)濾波以限制他的頻帶或?yàn)V除噪音和干擾,或?qū)⑺麄兣c其他信號(hào)進(jìn)行分離;對(duì)信號(hào)進(jìn)行頻譜分析或功率譜分析以了解信號(hào)的頻譜組成,進(jìn)而對(duì)信號(hào)識(shí)別;對(duì)信號(hào)進(jìn)行某種變換,使之更適合傳輸、存儲(chǔ)和應(yīng)用;對(duì)信號(hào)進(jìn)行編碼以達(dá)到數(shù)據(jù)壓縮的目的,等等。</p><p>  數(shù)字濾波技術(shù)是數(shù)字信號(hào)分析、處理技術(shù)的重要分

6、支。無(wú)論是信號(hào)的獲取、傳輸,還是信號(hào)的處理和交換都離不開(kāi)濾波技術(shù),它對(duì)信號(hào)安全可靠和有效靈活地傳輸是至關(guān)重要的。在所有的電子系統(tǒng)中,使用最多技術(shù)最復(fù)雜的要算數(shù)字濾波器了,數(shù)字濾波器的優(yōu)劣直接決定產(chǎn)品的優(yōu)劣。</p><p>  在信號(hào)處理過(guò)程中,所處理的信號(hào)往往混有噪聲,從接收到的信號(hào)中消除或減弱噪音是信號(hào)處理和傳輸中十分重要的問(wèn)題。根據(jù)有用信號(hào)和噪音的不同特性,提取有用信號(hào)的過(guò)程稱為濾波,實(shí)現(xiàn)濾波的系統(tǒng)稱為濾波

7、器。在近代電信設(shè)備和各類(lèi)控制系統(tǒng)中,數(shù)字濾波器的應(yīng)用極為廣泛,這里只列舉部分應(yīng)用最成功的領(lǐng)域。</p><p><b> ?。?)語(yǔ)音處理</b></p><p>  語(yǔ)音處理是最早應(yīng)用數(shù)字濾波器的領(lǐng)域之一,也是最早推動(dòng)數(shù)字信號(hào)處理理論發(fā)展的領(lǐng)域之一。該領(lǐng)域主要包括5個(gè)方面的內(nèi)容:第一,語(yǔ)音信號(hào)分析。即對(duì)語(yǔ)音信號(hào)的波形特征、統(tǒng)計(jì)特征、模型參數(shù)等進(jìn)行分析計(jì)算;第二,語(yǔ)音

8、合成。即利用專用數(shù)字硬件或在通用計(jì)算機(jī)上運(yùn)行軟件來(lái)產(chǎn)生語(yǔ)音;第三,語(yǔ)音識(shí)別。即用專用硬件或計(jì)算機(jī)識(shí)別人講的話,或者識(shí)別說(shuō)話的人;第四,語(yǔ)音增強(qiáng)。即從噪音或干擾中提取被掩蓋的語(yǔ)音信號(hào)。第五,語(yǔ)音編碼。主要用于語(yǔ)音數(shù)據(jù)壓縮,目前已經(jīng)建立了一系列語(yǔ)音編碼的國(guó)際標(biāo)準(zhǔn),大量用于通信和語(yǔ)音處理。近年來(lái),這5個(gè)方面都取得可不少的研究成果,并且,在市場(chǎng)上已出現(xiàn)了一些相關(guān)的軟件和硬件產(chǎn)品。例如,盲人閱讀器、啞人語(yǔ)音合成器、口授打印機(jī)、語(yǔ)音應(yīng)答機(jī),各種會(huì)說(shuō)

9、話的儀器和玩具,以及通信和視聽(tīng)產(chǎn)品大量使用的音頻編碼技術(shù)。</p><p><b> ?。?)圖像處理</b></p><p>  數(shù)字濾波技術(shù)以成功地應(yīng)用于靜態(tài)圖像和活動(dòng)圖像的恢復(fù)和增強(qiáng)、數(shù)據(jù)壓縮、去噪音和干擾、圖像識(shí)別以及層析X射線攝影,還成功地應(yīng)用于雷達(dá)、聲納、超聲波和紅外信號(hào)的可見(jiàn)圖像成像。</p><p><b> ?。?)

10、通信</b></p><p>  在通信技術(shù)領(lǐng)域內(nèi),幾乎沒(méi)有一個(gè)分支不受到數(shù)字濾波技術(shù)的影響。信源編碼、信道編碼、調(diào)制、多路復(fù)用、數(shù)據(jù)壓縮以及自適應(yīng)信道均衡等,都廣泛應(yīng)用數(shù)字濾波器,特別是在數(shù)字通信、網(wǎng)絡(luò)通信、圖像通信、多媒體通信等應(yīng)用中,離開(kāi)了數(shù)字濾波器,幾乎寸步難行。其中,被認(rèn)為是通信技術(shù)未來(lái)發(fā)展方向的軟件無(wú)線電技術(shù),更是以數(shù)字濾波器為基礎(chǔ)。</p><p><b&g

11、t;  (4)電視</b></p><p>  數(shù)字電視取代模擬電視已是必然趨勢(shì)。高清晰度電視的普及指日可待,與之配套的視頻光盤(pán)技術(shù)已經(jīng)形成具有巨大市場(chǎng)的產(chǎn)業(yè);可視電話和會(huì)議電視產(chǎn)品不斷更新?lián)Q代。視頻壓縮和音頻壓縮技術(shù)所取得的成就和標(biāo)準(zhǔn)化工作,促成了電視領(lǐng)域產(chǎn)業(yè)的蓬勃發(fā)展,而數(shù)字濾波器及其相關(guān)技術(shù)是視頻壓縮和音頻壓縮技術(shù)的重要基礎(chǔ)。</p><p><b> ?。?)

12、雷達(dá)</b></p><p>  雷達(dá)信號(hào)占有的頻帶非常寬,數(shù)據(jù)傳輸速率也非常高,因而壓縮數(shù)據(jù)量好降低數(shù)據(jù)傳輸速率是雷達(dá)信號(hào)數(shù)字處理面臨的首要問(wèn)題。高速數(shù)字器件的出現(xiàn)促進(jìn)了雷達(dá)信號(hào)處理技術(shù)的進(jìn)步。在現(xiàn)代雷達(dá)系統(tǒng)中,數(shù)字信號(hào)處理部分是不可或缺的,因?yàn)閺男盘?hào)的產(chǎn)生、濾波、加工到目標(biāo)參數(shù)的估計(jì)和目標(biāo)成像顯示都離不開(kāi)數(shù)字濾波器技術(shù)。雷達(dá)信號(hào)的數(shù)字濾波器是當(dāng)今十分活躍的研究領(lǐng)域之一。</p>&l

13、t;p> ?。?)生物醫(yī)學(xué)信號(hào)處理</p><p>  數(shù)字濾波器在醫(yī)學(xué)中的應(yīng)用日益廣泛,如對(duì)腦電圖和心電圖的分析、層析X射線攝影的計(jì)算機(jī)輔助分析、胎兒心音的自適應(yīng)檢測(cè)等。</p><p><b> ?。?)其他領(lǐng)域</b></p><p>  數(shù)字濾波器的應(yīng)用領(lǐng)域如此廣泛,以至于想完全列舉他們是根本不可能的,除了以上幾個(gè)領(lǐng)域外,還有很多

14、其他的應(yīng)用領(lǐng)域。例如,在軍事上被大量應(yīng)用于導(dǎo)航、制導(dǎo)、電子對(duì)抗、戰(zhàn)場(chǎng)偵測(cè);在電力系統(tǒng)中被應(yīng)用于能源分布規(guī)劃和自動(dòng)檢測(cè);在環(huán)境保護(hù)中被應(yīng)用于對(duì)空氣和噪聲干擾的自動(dòng)檢測(cè);在經(jīng)濟(jì)領(lǐng)域中被應(yīng)用于股票市場(chǎng)預(yù)測(cè)和經(jīng)濟(jì)效益分析,等等。</p><p><b>  數(shù)字濾波器介紹:</b></p><p><b>  模擬和數(shù)字濾波器 </b></p>

15、;<p>  在信號(hào)處理、過(guò)濾功能是一個(gè)不排 除部分信息,如隨機(jī)噪音、提取有用的信號(hào)部分,如部分地勢(shì)在一定的頻率范圍.</p><p>  有兩大類(lèi)型的過(guò)濾, 模擬以及數(shù)字。他們是完全不同的物理結(jié)構(gòu),如何工作. 類(lèi)比電子電路模擬用的過(guò)濾部分組成,例如由電阻、電容opamps和生產(chǎn)所需的過(guò)濾效果. 這種過(guò)濾器被廣泛使用的電路減少噪音等方面的應(yīng)用,提高視頻信號(hào)、圖像均衡的高科技傳真系統(tǒng)等眾多領(lǐng)域. 有完

16、善的技術(shù)標(biāo)準(zhǔn)設(shè)計(jì)的模擬電路進(jìn)行過(guò)濾特定要求. 在各個(gè)階段,是一個(gè)信號(hào),是電機(jī)電壓和過(guò)濾,目前直接的物理模擬量(例如聲音或視頻信號(hào)和變頻器生產(chǎn))處理. 數(shù)碼過(guò)濾用數(shù)字進(jìn)行數(shù)值計(jì)算處理器的信號(hào)抽樣值. 處理器的可能通用計(jì)算機(jī)等PC或?qū)I(yè)發(fā)展計(jì)劃圖(數(shù)字信號(hào)處理器)芯片. 模擬信號(hào)必須先投入使用的取樣和數(shù)碼藝術(shù)發(fā)展局(模擬到數(shù)字轉(zhuǎn)換器). 由此二元多,占抽樣連續(xù)輸入信號(hào)的價(jià)值,轉(zhuǎn)移到處理器,進(jìn)行數(shù)字計(jì)算. 這些計(jì)算通常涉及多方面的投入和增加產(chǎn)

17、品價(jià)值的共同因素. 如有必要,這些計(jì)算結(jié)果,現(xiàn)在是抽樣信號(hào)值的過(guò)濾,產(chǎn)出通過(guò)發(fā)展援助委員會(huì)(類(lèi)比數(shù)位轉(zhuǎn)換器來(lái))信號(hào)轉(zhuǎn)換回模擬形式。</p><p>  濾波器是指用來(lái)對(duì)輸入信號(hào)進(jìn)行濾波的硬件或軟件。如果濾波器的輸入、輸出</p><p>  都是離散信號(hào),則該濾波器的沖擊響應(yīng)也必然是離散的,這樣的濾波器定義為數(shù)字濾波器。數(shù)字濾波器的功能,就是把輸入序列X通過(guò)一定的運(yùn)算變換成輸出序列Y。&l

18、t;/p><p>  根據(jù)數(shù)字濾波器沖激響應(yīng)函數(shù)的時(shí)域特性,可將數(shù)字濾波器分為兩種,即無(wú)限長(zhǎng)沖激相應(yīng)IIR濾波器和有限長(zhǎng)沖激響應(yīng)FIR濾波器。IIR數(shù)字濾波器的優(yōu)點(diǎn)是可以利用模擬濾波器設(shè)計(jì)的結(jié)果,而模擬濾波器的設(shè)計(jì)有大量圖表可查,方便簡(jiǎn)單。它的缺點(diǎn)是相位的非線性;若需要線性相位,則要采用全通網(wǎng)絡(luò)進(jìn)行相位校正。圖象處理以及數(shù)據(jù)采集傳輸都要求濾波器具有線性相位特性。而FIR數(shù)字濾波器可以實(shí)現(xiàn)線性相位,又可具有任意幅度特性

19、。從數(shù)字濾波器的單位沖擊響應(yīng)來(lái)看,可分為兩大類(lèi):有限沖擊響應(yīng)(FIR)數(shù)字濾波器和無(wú)限沖擊響應(yīng)(IIR)數(shù)字濾波器。</p><p>  FIR濾波器卻可以得到嚴(yán)格的線性相位,然而由于FIR濾波器的系統(tǒng)函數(shù)的極點(diǎn)固定在原點(diǎn),所以只能用較高的階數(shù)來(lái)實(shí)現(xiàn)其高選擇性,對(duì)于同樣的濾波器設(shè)計(jì)指標(biāo),F(xiàn)IR濾波器所要求的階數(shù)要比IIR高5至10倍,所以成本較高,信號(hào)延遲也較大。但是如果要求相同的線性相位,則IIR濾波器就必須加

20、全通網(wǎng)絡(luò)進(jìn)行相位校正,同樣也要增加濾波器網(wǎng)絡(luò)的節(jié)數(shù)和復(fù)雜性。FIR濾波器可以用非遞歸的方法實(shí)現(xiàn),在有限精度下不會(huì)產(chǎn)生振蕩,同時(shí)由于量化舍入以及系數(shù)的不確定性所引起的誤差的影響要比IIR濾波器小的多,并且FIR濾波器可以采用FFT算法,運(yùn)算速度快。但是不象IIR濾波器可以借助模擬濾波器的成果,F(xiàn)IR濾波器沒(méi)有現(xiàn)成的計(jì)算公式,必須要用計(jì)算機(jī)輔助設(shè)計(jì)軟件(如MATLAB)來(lái)計(jì)算。由此可知,F(xiàn)IR濾波器應(yīng)用比較廣,而IIR濾波器則用在相位要求不

21、是很?chē)?yán)格的場(chǎng)合。</p><p>  濾波器從功能上分又可分為如下4類(lèi):</p><p>  (1)低通濾波器(LPF);</p><p>  (2)高通濾波器(HPF );</p><p>  (3)帶通濾波器(BPF );</p><p>  (4)帶阻濾波器(BSF)。</p><p> 

22、 理想濾波器的幅頻特性下圖虛線為:</p><p>  2、MATLAB介紹:</p><p>  MATLAB是矩陣實(shí)驗(yàn)室(Matrix Laboratory)之意。除具備卓越的數(shù)值計(jì)算能力外,它還提供了專業(yè)水平的符號(hào)計(jì)算,文字處理,可視化建模仿真和實(shí)時(shí)控制等功能。MATLAB作為世界頂尖的數(shù)學(xué)應(yīng)用軟件,以其強(qiáng)大的工程計(jì)算、算法研究、工程繪圖、應(yīng)用程序開(kāi)發(fā)、數(shù)據(jù)分析和動(dòng)態(tài)仿真等功能,在航

23、空航天、機(jī)械制造和工程建筑等領(lǐng)域發(fā)揮著越來(lái)越重要的作用。而C語(yǔ)言功能豐富,使用靈活方便,目標(biāo)程序效率高。既有高級(jí)語(yǔ)言的優(yōu)點(diǎn),又有低級(jí)語(yǔ)言的特點(diǎn)。因此,C語(yǔ)言是目前應(yīng)用最廣的編程語(yǔ)言。雖然MATLAB是一個(gè)完整的、功能齊全的編程環(huán)境,但在某些情況下,與外部環(huán)境的數(shù)據(jù)和程序的交互是非常必須而且有益的。利用MATLAB設(shè)計(jì)濾波器,可以隨時(shí)對(duì)比設(shè)計(jì)要求和濾波器特性調(diào)整參數(shù),直觀簡(jiǎn)便,極大的減輕了工作量,有利于濾波器設(shè)計(jì)的最優(yōu)化。</p&g

24、t;<p>  在電力系統(tǒng)微機(jī)保護(hù)和二次控制中,很多信號(hào)的處理與分析都是基于正旋基波和某些整次諧波而進(jìn)行的,而系統(tǒng)電壓電流信號(hào)(尤其是故障瞬變過(guò)程)中混有各種復(fù)雜成分,所以濾波器一直是電力系統(tǒng)二次裝置的關(guān)鍵部件。目前微機(jī)保護(hù)和二次信號(hào)處理軟件主要采用數(shù)字濾波器。傳統(tǒng)的數(shù)字濾波器設(shè)計(jì)使用繁瑣的公式計(jì)算,改變參數(shù)后需要重新計(jì)算,在設(shè)計(jì)濾波器尤其是高階濾波器時(shí)工作量很大。利用MATLAB信號(hào)處理箱可以快速有效地實(shí)現(xiàn)數(shù)字濾波器的設(shè)

25、計(jì)與仿真。</p><p>  MATLAB的基本數(shù)據(jù)單位是矩陣,它的指令表達(dá)式與數(shù)學(xué),工程中常用的形式十分相似,故用MATLAB來(lái)解算問(wèn)題要比用C,FORTRAN等語(yǔ)言完相同的事情簡(jiǎn)捷得多.當(dāng)前流行的MATLAB 5.3/Simulink3.0包括擁有數(shù)百個(gè)內(nèi)部函數(shù)的主包和三十幾種工具包(Toolbox)。工具包又可以分為功能性工具包和學(xué)科工具包.功能工具包用來(lái)擴(kuò)充MATLAB的符號(hào)計(jì)算,可視化建模仿真,文字處

26、理及實(shí)時(shí)控制等功能.學(xué)科工具包是專業(yè)性比較強(qiáng)的工具包,控制工具包,信號(hào)處理工具包,通信工具包等都屬于此類(lèi)。</p><p>  開(kāi)放性使MATLAB廣受用戶歡迎.除內(nèi)部函數(shù)外,所有MATLAB主包文件和各種工具包都是可讀可修改的文件,用戶通過(guò)對(duì)源程序的修改或加入自己編寫(xiě)程序構(gòu)造新的專用工具包。</p><p>  3、數(shù)字濾波器的設(shè)計(jì)</p><p>  數(shù)字濾波器

27、設(shè)計(jì)的基本要求</p><p>  數(shù)字濾波器設(shè)計(jì)要經(jīng)過(guò)三個(gè)步驟:</p><p> ?。?)確定指標(biāo):在設(shè)計(jì)一個(gè)濾波器前,必須有一些指標(biāo)。這些指標(biāo)要根據(jù)應(yīng)用確定。在很多實(shí)際應(yīng)用中,數(shù)字濾波器常常被用來(lái)實(shí)現(xiàn)選頻操作。因此,指標(biāo)的形式一般在頻域中給出幅度和相位響應(yīng)。幅度指標(biāo)主要以兩種方式給出。第一種是絕對(duì)指標(biāo)。它提供對(duì)幅度響應(yīng)函數(shù)的要求,一般應(yīng)用于FIR濾波器的設(shè)計(jì)。第二種指標(biāo)是相對(duì)指標(biāo)。它

28、以分貝值的形式給出要求。在工程實(shí)際中,這種指標(biāo)最受歡迎。對(duì)于相位響應(yīng)指標(biāo)形式,通常希望系統(tǒng)在通頻帶中人有線性相位。運(yùn)用線性相位響應(yīng)指標(biāo)進(jìn)行濾波器設(shè)計(jì)具有如下優(yōu)點(diǎn):①只包含實(shí)數(shù)算法,不涉及復(fù)數(shù)運(yùn)算;②不存在延遲失真,只有固定數(shù)量的延遲;③長(zhǎng)度為N的濾波器(階數(shù)為N-1),計(jì)算量為N/2數(shù)量級(jí)。</p><p>  (2)模型逼近:一旦確定了指標(biāo),就可利用前面學(xué)習(xí)過(guò)的基本原理和關(guān)系式,提出一個(gè)濾波器模型來(lái)逼近給定的指

29、標(biāo)體系。</p><p> ?。?)實(shí)現(xiàn):上面兩步的結(jié)果得到的濾波器,通常是以差分方程、系統(tǒng)函數(shù)或脈沖響應(yīng)來(lái)描述的。根據(jù)這個(gè)描述用硬件或者計(jì)算機(jī)軟件來(lái)實(shí)現(xiàn)它。</p><p><b>  4、FPGA介紹:</b></p><p>  可編程邏輯器件是一種可以構(gòu)成各種用途邏輯的通用芯片,它是實(shí)現(xiàn)專用集成電路ASIC(Application Sp

30、ecific Integrated Circuit)的半定制器件,它的出現(xiàn)和發(fā)展使電子系統(tǒng)設(shè)計(jì)師借助于CAD手段在實(shí)驗(yàn)室里就可以設(shè)計(jì)自己的ASIC器件。特別是FPGA(Field Programmable Gate Array)的產(chǎn)生與發(fā)展,使其成為繼微處理器、存儲(chǔ)器之后的為電子數(shù)字系統(tǒng)設(shè)計(jì)而確定的又一種新的工業(yè)標(biāo)準(zhǔn)(即可以按標(biāo)準(zhǔn)產(chǎn)品目錄在銷(xiāo)售市場(chǎng)上購(gòu)到)。數(shù)字系統(tǒng)正朝向以微處理器、存儲(chǔ)器、FPGA三種標(biāo)準(zhǔn)積木塊構(gòu)成或是它們的集成方向發(fā)

31、展。</p><p>  使用FPGA器件設(shè)計(jì)數(shù)字電路,不僅可以簡(jiǎn)化設(shè)計(jì)過(guò)程,而且可以降低整個(gè)系統(tǒng)的體積和成本,增加系統(tǒng)的可靠性。它們無(wú)需花費(fèi)傳統(tǒng)意義下制造集成電路所需大量時(shí)間和精力,避免了投資風(fēng)險(xiǎn),成為電子器件行業(yè)中發(fā)展最快的一族。使用FPGA器件設(shè)計(jì)數(shù)字系統(tǒng)電路的主要優(yōu)點(diǎn)如下:</p><p><b> ?。?)設(shè)計(jì)靈活</b></p><p&

32、gt;  使用FPGA器件,可不受標(biāo)準(zhǔn)系列器件在邏輯功能上的限制。而且修改邏輯可在系統(tǒng)設(shè)計(jì)和使用過(guò)程的任一階段中進(jìn)行,并且只須通過(guò)對(duì)所用的FPGA器件進(jìn)行重新編程即可完成,給系統(tǒng)設(shè)計(jì)提供了很大的靈活性。</p><p>  (2)增大功能密集度</p><p>  功能密集度是指在給定的空間能集成的邏輯功能數(shù)量。可編程邏輯芯片內(nèi)的組件門(mén)數(shù)高,一片F(xiàn)PGA可代替幾片、幾十片乃至上百片中小規(guī)模

33、的數(shù)字集成電路芯片。用FPGA器件實(shí)現(xiàn)數(shù)字系統(tǒng)時(shí)用的芯片數(shù)量少,從而減少芯片的使用數(shù)目,減少印刷線路板面積和印刷線路板數(shù)目,最終導(dǎo)致系統(tǒng)規(guī)模的全面縮減。</p><p><b> ?。?)提高可靠性</b></p><p>  減少芯片和印刷板數(shù)目,不僅能縮小系統(tǒng)規(guī)模,而且它還極大的提高了系統(tǒng)的可靠性。</p><p>  具有較高集成度的系統(tǒng)

34、比用許多低集成度的標(biāo)準(zhǔn)組件設(shè)計(jì)的相同系統(tǒng)具有高得多的可靠性。使用FPGA器件減少了實(shí)現(xiàn)系統(tǒng)所需要的芯片數(shù)目,在印刷線路板上的引線以及焊點(diǎn)數(shù)量也隨之減少,所以系統(tǒng)的可靠性得以提高。</p><p><b> ?。?)縮短設(shè)計(jì)周期</b></p><p>  由于FPGA器件的可編程性和靈活性,用它來(lái)設(shè)計(jì)一個(gè)系統(tǒng)所需時(shí)間比傳統(tǒng)方法大為縮短。FPGA器件集成度高,使用時(shí)印刷

35、線路板電路布局布線簡(jiǎn)單。同時(shí),在樣機(jī)設(shè)計(jì)成功后,由于開(kāi)發(fā)工具先進(jìn),自動(dòng)化程度高,對(duì)其進(jìn)行邏輯修改也十分簡(jiǎn)便迅速。因此,使用FPGA器件可大大縮短系統(tǒng)的設(shè)計(jì)周期,加快產(chǎn)品投放市場(chǎng)的速度,提高產(chǎn)品的競(jìng)爭(zhēng)能力。</p><p><b> ?。?)工作速度快</b></p><p>  FPGA/CPLD器件的工作速度快,一般可以達(dá)到幾百兆赫茲,遠(yuǎn)遠(yuǎn)大于DSP器件。同時(shí),使用

36、FPGA器件后實(shí)現(xiàn)系統(tǒng)所需要的電路級(jí)數(shù)又少,因而整個(gè)系統(tǒng)的工作速度會(huì)得到提高。</p><p>  (6)增加系統(tǒng)的保密性能</p><p>  很多FPGA器件都具有加密功能,在系統(tǒng)中廣泛的使用FPGA器件可以有效防止產(chǎn)品被他人非法仿制。</p><p><b> ?。?)降低成本</b></p><p>  使用FP

37、GA器件實(shí)現(xiàn)數(shù)字系統(tǒng)設(shè)計(jì)時(shí),如果僅從器件本身的價(jià)格考慮,有時(shí)還看不出來(lái)它的優(yōu)勢(shì),但是影響系統(tǒng)成本的因素是多方面的,綜合考慮,使用FPGA的成本優(yōu)越性是很明顯的。首先,使用FPGA器件修改設(shè)計(jì)方便,設(shè)計(jì)周期縮短,使系統(tǒng)的研制開(kāi)發(fā)費(fèi)用降低;其次,F(xiàn)PGA器件可使印刷線路板面積和需要的插件減少,從而使系統(tǒng)的制造費(fèi)用降低;再次,使用FPGA器件能使系統(tǒng)的可靠性提高,維修工作量減少,進(jìn)而使系統(tǒng)的維修服務(wù)費(fèi)用降低??傊?,使用FPGA器件進(jìn)行系統(tǒng)設(shè)計(jì)

38、能節(jié)約成本。</p><p><b>  FPGA設(shè)計(jì)原則:</b></p><p>  FPGA設(shè)計(jì)的一個(gè)重要指導(dǎo)原則:面積和速度的平衡與互換,這個(gè)原則在后邊的濾波器設(shè)計(jì)中有大量的驗(yàn)證體現(xiàn)。</p><p>  這里“面積”指一個(gè)設(shè)計(jì)消耗FPGA/CPLD的邏輯資源的數(shù)量,對(duì)于FPGA可以用所消耗的觸發(fā)器(FF)和查找表(IUT)來(lái)衡量,更一

39、般的衡量方式可以用設(shè)計(jì)所占用的等價(jià)邏輯門(mén)數(shù)來(lái)衡量?!八俣取敝冈O(shè)計(jì)在芯片上穩(wěn)定運(yùn)行所能夠達(dá)到的最高頻率,這個(gè)頻率由設(shè)計(jì)的時(shí)序狀況決定,和設(shè)計(jì)滿足的時(shí)鐘周期,PADto PAD Time, Clock Setup Time, Clock Hold Time, Clock-to-Output Delay等眾多時(shí)序特征量密切相關(guān)。面積(area)和速度(speed)這兩個(gè)指標(biāo)貫穿著FPGA設(shè)計(jì)的始終,是設(shè)計(jì)質(zhì)量評(píng)價(jià)的終極標(biāo)準(zhǔn)。關(guān)于面積和速度的兩

40、個(gè)最基本的概念:面積與速度的平衡和面積與速度的互換。 </p><p>  面積和速度是一對(duì)對(duì)立統(tǒng)一的矛盾體。要求一個(gè)設(shè)計(jì)同時(shí)具備設(shè)計(jì)面積最小,運(yùn)行頻率最高是不現(xiàn)實(shí)的。更科學(xué)的設(shè)計(jì)目標(biāo)應(yīng)該是在滿足設(shè)計(jì)時(shí)序要求(包含對(duì)設(shè)計(jì)頻率的要求)的前提下,占用最小的芯片面積?;蛘咴谒?guī)定的面積下,使設(shè)計(jì)的時(shí)序余量更大,頻率跑得更高。這兩種目標(biāo)充分體現(xiàn)了面積和速度的平衡的思想。關(guān)于面積和速度的要求,不應(yīng)該簡(jiǎn)單地理解為工程師水

41、平的提高和設(shè)計(jì)完美性的追求,而應(yīng)該認(rèn)識(shí)到它們是和產(chǎn)品的質(zhì)量和成本直接相關(guān)的。如果設(shè)計(jì)的時(shí)序余量比較大,跑的頻率比較高,意味著設(shè)計(jì)的健壯性更強(qiáng),整個(gè)系統(tǒng)的質(zhì)量更有保證;另一方面,設(shè)計(jì)所消耗的面積更小,則意味著在單位芯片上實(shí)現(xiàn)的功能模塊更多,需要的芯片數(shù)量更少,整個(gè)系統(tǒng)的成本也隨之大幅度削減。作為矛盾的兩個(gè)組成部分,面積和速度的地位是不一樣的。相比之下,滿足時(shí)序、工作頻率的要求更重要一些,當(dāng)兩者沖突時(shí),采用速度優(yōu)先的準(zhǔn)則。</p>

42、;<p>  面積和速度的互換是 FPGA設(shè)計(jì)的一個(gè)重要思想。從理論上講,一個(gè)設(shè)計(jì)如果時(shí)序余量較大,所能跑的頻率遠(yuǎn)遠(yuǎn)高于設(shè)計(jì)要求,那么就能通過(guò)功能模塊復(fù)用減少整個(gè)設(shè)計(jì)消耗的芯片面積,這就是用速度的優(yōu)勢(shì)換面積的節(jié)約;反之,如果一個(gè)設(shè)計(jì)的時(shí)序要求很高,普通方法達(dá)不到設(shè)計(jì)頻率,那么一般可以通過(guò)將數(shù)據(jù)流串并轉(zhuǎn)換,并行復(fù)制多個(gè)操作模塊,對(duì)整個(gè)設(shè)計(jì)采取“串并轉(zhuǎn)換”的思想進(jìn)行運(yùn)作,在芯片輸出模塊再在對(duì)數(shù)據(jù)進(jìn)行“并串轉(zhuǎn)換”,是從宏觀上看整

43、個(gè)芯片滿足了處理速度的要求,這相當(dāng)于用面積復(fù)制換速度提高。</p><p>  舉一個(gè)例子。假設(shè)數(shù)字信號(hào)處理系統(tǒng)輸入數(shù)據(jù)流的速率是350Mb/s,而在FPGA上設(shè)計(jì)的數(shù)據(jù)處理模塊的處理速度最大為150Mb/s,由于處理模塊的數(shù)據(jù)吞吐量滿足不了要求,看起來(lái)直接在FPGA上實(shí)現(xiàn)是不可能的。這種情況下,就應(yīng)該利用“面積換速度”的思想,至少?gòu)?fù)制成3個(gè)處理模塊,首先將輸入數(shù)據(jù)進(jìn)行串并轉(zhuǎn)換,然后利用這三個(gè)模塊并行處理分配的數(shù)

44、據(jù),然后將處理結(jié)果“并串變換”,就完成數(shù)據(jù)速率的要求。我們?cè)谡麄€(gè)處理模塊的兩端看,數(shù)據(jù)速率是350Mb/s,而在FPGA的內(nèi)部看,每個(gè)子模塊處理的數(shù)據(jù)速率是150Mb/s,其實(shí)整個(gè)數(shù)據(jù)的吞吐量的保障是依賴于3個(gè)子模塊并行處理完成的,也就是說(shuō)利用了占用更多的芯片面積,實(shí)現(xiàn)了高速處理,通過(guò)“面積的復(fù)制換取處理速度的提高”的思想實(shí)現(xiàn)了設(shè)計(jì)。</p><p>  FPGA是英文Field Programmable Gat

45、e Array的縮寫(xiě),即現(xiàn)場(chǎng)可編程門(mén)陣列,它是在PAL、GAL、EPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。它是作為專用集成電路(ASIC)領(lǐng)域中的一種半定制電路而出現(xiàn)的,既解決了定制電路的不足,又克服了原有可編程器件門(mén)電路數(shù)有限的缺點(diǎn)。</p><p>  FPGA采用了邏輯單元陣列LCA(Logic Cell Array)這樣一個(gè)新概念,內(nèi)部包括可配置邏輯模塊CLB(Configurable Logic B

46、lock)、輸出輸入模塊IOB(Input Output Block)和內(nèi)部連線(Interconnect)三個(gè)部分。FPGA的基本特點(diǎn)主要有:</p><p> ?。?)采用FPGA設(shè)計(jì)ASIC電路,用戶不需要投片生產(chǎn),就能得到合用的芯片。 </p><p>  (2)FPGA可做其它全定制或半定制ASIC電路的中試樣片。</p><p> ?。?)FPGA內(nèi)部有

47、豐富的觸發(fā)器和I/O引腳。</p><p> ?。?)FPGA是ASIC電路中設(shè)計(jì)周期最短、開(kāi)發(fā)費(fèi)用最低、風(fēng)險(xiǎn)最小的器件之一。</p><p>  (5)FPGA采用高速CHMOS工藝,功耗低,可以與CMOS、TTL電平兼容。</p><p>  可以說(shuō),F(xiàn)PGA芯片是小批量系統(tǒng)提高系統(tǒng)集成度、可靠性的最佳選擇之一。</p><p>  目前

48、FPGA的品種很多,有XILINX的XC系列、TI公司的TPC系列、ALTERA公司的FIEX系列等。 </p><p>  FPGA是由存放在片內(nèi)RAM中的程序來(lái)設(shè)置其工作狀態(tài)的,因此,工作時(shí)需要對(duì)片內(nèi)的RAM進(jìn)行編程。用戶可以根據(jù)不同的配置模式,采用不同的編程方式。</p><p>  加電時(shí),F(xiàn)PGA芯片將EPROM中數(shù)據(jù)讀入片內(nèi)編程RAM中,配置完成后,F(xiàn)PGA進(jìn)入工作狀

49、態(tài)。掉電后,F(xiàn)PGA恢復(fù)成白片,內(nèi)部邏輯關(guān)系消失,因此,F(xiàn)PGA能夠反復(fù)使用。FPGA的編程無(wú)須專用的FPGA編程器,只須用通用的EPROM、PROM編程器即可。當(dāng)需要修改FPGA功能時(shí),只需換一片EPROM即可。這樣,同一片F(xiàn)PGA,不同的編程數(shù)據(jù),可以產(chǎn)生不同的電路功能。因此,F(xiàn)PGA的使用非常靈活。</p><p>  FPGA有多種配置模式:并行主模式為一片F(xiàn)PGA加一片EPROM的方式;主從模式可以支持

50、一片PROM編程多片F(xiàn)PGA;串行模式可以采用串行PROM編程FPGA;外設(shè)模式可以將FPGA作為微處理器的外設(shè),由微處理器對(duì)其編程。</p><p>  Verilog HDL是一種硬件描述語(yǔ)言,用于從算法級(jí)、門(mén)級(jí)到開(kāi)關(guān)級(jí)的多種抽象設(shè)計(jì)層次的數(shù)字系統(tǒng)建模。被建模的數(shù)字系統(tǒng)對(duì)象的復(fù)雜性可以介于簡(jiǎn)單的門(mén)和完整的電子數(shù)字系統(tǒng)之間。數(shù)字系統(tǒng)能夠按層次描述,并可在相同描述中顯式地進(jìn)行時(shí)序建模。</p>&l

51、t;p>  Verilog HDL 語(yǔ)言具有下述描述能力:設(shè)計(jì)的行為特性、設(shè)計(jì)的數(shù)據(jù)流特性、設(shè)計(jì)的結(jié)構(gòu)組成以及包含響應(yīng)監(jiān)控和設(shè)計(jì)驗(yàn)證方面的時(shí)延和波形產(chǎn)生機(jī)制。所有這些都使用同一種建模語(yǔ)言。此外,Verilog HDL語(yǔ)言提供了編程語(yǔ)言接口,通過(guò)該接口可以在模擬、驗(yàn)證期間從設(shè)計(jì)外部訪問(wèn)設(shè)計(jì),包括模擬的具體控制和運(yùn)行。</p><p>  Verilog HDL語(yǔ)言不僅定義了語(yǔ)法,而且對(duì)每個(gè)語(yǔ)法結(jié)構(gòu)都定義了清晰

52、的模擬、仿真語(yǔ)義。因此,用這種語(yǔ)言編寫(xiě)的模型能夠使用Verilog仿真器進(jìn)行驗(yàn)證。語(yǔ)言從C編程語(yǔ)言中繼承了多種操作符和結(jié)構(gòu)。Verilog HDL提供了擴(kuò)展的建模能力,其中許多擴(kuò)展最初很難理解。但是,Verilog HDL語(yǔ)言的核心子集非常易于學(xué)習(xí)和使用,這對(duì)大多數(shù)建模應(yīng)用來(lái)說(shuō)已經(jīng)足夠。當(dāng)然,完整的硬件描述語(yǔ)言足以對(duì)從最復(fù)雜的芯片到完整的電子系統(tǒng)進(jìn)行描述。</p><p><b>  歷史</b&

53、gt;</p><p>  Verilog HDL語(yǔ)言最初是于1983年由Gateway Design Automation公司為其模擬器產(chǎn)品開(kāi)發(fā)的硬件建模語(yǔ)言。那時(shí)它只是一種專用語(yǔ)言。由于他們的模擬、仿真器產(chǎn)品的廣泛使用,Verilog HDL 作為一種便于使用且實(shí)用的語(yǔ)言逐漸為眾多設(shè)計(jì)者所接受。在一次努力增加語(yǔ)言普及性的活動(dòng)中,Verilog HDL語(yǔ)言于1990年被推向公眾領(lǐng)域。 Open Verilog

54、International (OVI)是促進(jìn)Verilog發(fā)展的國(guó)際性組織。1992年, OVI決定致力于推廣Verilog OVI標(biāo)準(zhǔn)成為IEEE標(biāo)準(zhǔn)。這一努力最后獲得成功,Verilog 語(yǔ)言于1995年成為IEEE標(biāo)準(zhǔn),稱為IEEE Std 1364-1995。完整的標(biāo)準(zhǔn)在Verilog硬件描述語(yǔ)言參考手冊(cè)中有詳細(xì)描述。</p><p><b>  主要能力</b></p>

55、<p>  下面列出的是Verilog硬件描述語(yǔ)言的主要能力:基本邏輯門(mén),例如and、or和nand等都內(nèi)置在語(yǔ)言中。* 用戶定義原語(yǔ)(UDP)創(chuàng)建的靈活性。用戶定義的原語(yǔ)既可以是組合邏輯原語(yǔ),也可以是時(shí)序邏輯原語(yǔ)。</p><p>  * 開(kāi)關(guān)級(jí)基本結(jié)構(gòu)模型,例如pmos 和nmos等也被內(nèi)置在語(yǔ)言中。</p><p>  * 提供顯式語(yǔ)言結(jié)構(gòu)指定設(shè)計(jì)中的端口到端口的時(shí)延

56、及路徑時(shí)延和設(shè)計(jì)的時(shí)序檢查。</p><p>  * 可采用三種不同方式或混合方式對(duì)設(shè)計(jì)建模。這些方式包括:行為描述方式—使用過(guò)程化結(jié)構(gòu)建模;數(shù)據(jù)流方式—使用連續(xù)賦值語(yǔ)句方式建模;結(jié)構(gòu)化方式—使用門(mén)和模塊實(shí)例語(yǔ)句描述建模</p><p>  * Verilog HDL中有兩類(lèi)數(shù)據(jù)類(lèi)型:線網(wǎng)數(shù)據(jù)類(lèi)型和寄存器數(shù)據(jù)類(lèi)型。線網(wǎng)類(lèi)型表示構(gòu)件間的物理連線,而寄存器類(lèi)型表示抽象的數(shù)據(jù)存儲(chǔ)元件。</

57、p><p>  * 能夠描述層次設(shè)計(jì),可使用模塊實(shí)例結(jié)構(gòu)描述任何層次。</p><p>  * 設(shè)計(jì)的規(guī)??梢允侨我獾?;語(yǔ)言不對(duì)設(shè)計(jì)的規(guī)模(大?。┦┘尤魏蜗拗?。</p><p>  * Verilog HDL不再是某些公司的專有語(yǔ)言而是IEEE標(biāo)準(zhǔn)。</p><p>  * 人和機(jī)器都可閱讀Verilog 語(yǔ)言,因此它可作為EDA的工具和設(shè)計(jì)者之

58、間的交互語(yǔ)言。</p><p>  * Verilog HDL語(yǔ)言的描述能力能夠通過(guò)使用編程語(yǔ)言接口(PLI)機(jī)制進(jìn)一步擴(kuò)展。PLI是允許外部函數(shù)訪問(wèn)Verilog 模塊內(nèi)信息、允許設(shè)計(jì)者與模擬器交互的例程集合。</p><p>  * 設(shè)計(jì)能夠在多個(gè)層次上加以描述,從開(kāi)關(guān)級(jí)、門(mén)級(jí)、寄存器傳送級(jí)(RTL)到算法級(jí),包括進(jìn)程和隊(duì)列級(jí)。</p><p>  * 能夠使用

59、內(nèi)置開(kāi)關(guān)級(jí)原語(yǔ)在開(kāi)關(guān)級(jí)對(duì)設(shè)計(jì)完整建模。</p><p>  * 同一語(yǔ)言可用于生成模擬激勵(lì)和指定測(cè)試的驗(yàn)證約束條件,例如輸入值的指定。</p><p>  * Verilog HDL 能夠監(jiān)控模擬驗(yàn)證的執(zhí)行,即模擬驗(yàn)證執(zhí)行過(guò)程中設(shè)計(jì)的值能夠被監(jiān)控和顯示。這些值也能夠用于與期望值比較,在不匹配的情況下,打印報(bào)告消息。</p><p>  * 在行為級(jí)描述中,Veril

60、og HDL不僅能夠在RTL級(jí)上進(jìn)行設(shè)計(jì)描述,而且能夠在體系結(jié)構(gòu)級(jí)描述及其算法級(jí)行為上進(jìn)行設(shè)計(jì)描述。</p><p>  * 能夠使用門(mén)和模塊實(shí)例化語(yǔ)句在結(jié)構(gòu)級(jí)進(jìn)行結(jié)構(gòu)描述。</p><p>  * Verilog HDL 的混合方式建模能力,即在一個(gè)設(shè)計(jì)中每個(gè)模塊均可以在不同設(shè)計(jì)層次上建模。</p><p>  * Verilog HDL 還具有內(nèi)置邏輯函數(shù),例如

61、&(按位與)和|(按位或)。</p><p>  * 對(duì)高級(jí)編程語(yǔ)言結(jié)構(gòu),例如條件語(yǔ)句、情況語(yǔ)句和循環(huán)語(yǔ)句,語(yǔ)言中都可以使用。</p><p>  * 可以顯式地對(duì)并發(fā)和定時(shí)進(jìn)行建模。</p><p>  * 提供強(qiáng)有力的文件讀寫(xiě)能力。</p><p>  * 語(yǔ)言在特定情況下是非確定性的,即在不同的模擬器上模型可以產(chǎn)生不同的結(jié)果;例

62、如,事件隊(duì)列上的事件順序在標(biāo)準(zhǔn)中沒(méi)有定義。</p><p><b>  5、DSP簡(jiǎn)介</b></p><p>  今天,DSP廣泛應(yīng)用于現(xiàn)代技術(shù)中,它已是許多產(chǎn)品的關(guān)鍵部分,在我們?nèi)粘I钪邪缪葜絹?lái)越重要的角色。最近,系西北工業(yè)大學(xué)Aviation微電子研究中心完成了數(shù)字信號(hào)處理器的核心NDSP25的設(shè)計(jì),它是以TexasTms320系列為指導(dǎo)來(lái)完成TMS320C

63、25的數(shù)字信號(hào)處理器設(shè)計(jì)的目標(biāo),通過(guò)用一低端設(shè)計(jì)流,NDSP25兼容了TMS320C25的時(shí)間界面和指導(dǎo)內(nèi)容。</p><p>  數(shù)字信號(hào)處理器(Digital Signal Processor)是一種適合對(duì)數(shù)字信號(hào)進(jìn)行高速實(shí)時(shí)處理的專用處理器,其主要用來(lái)實(shí)時(shí)快速地實(shí)現(xiàn)各種數(shù)字信號(hào)處理算法。在當(dāng)今的數(shù)字化時(shí)代背景下,DSP已成為通信、計(jì)算機(jī)、消費(fèi)類(lèi)電子產(chǎn)品等領(lǐng)域的基礎(chǔ)器件。</p><p&g

64、t;  數(shù)字信號(hào)處理器與數(shù)字信號(hào)處理有著密不可分的關(guān)系,我們通常說(shuō)的“DSP”也可以指數(shù)字信號(hào)處理(Digital Signal Processing ),在本文里都是指數(shù)字信號(hào)處理器。數(shù)字信號(hào)處理是一門(mén)包括了許多學(xué)科并應(yīng)用于很多領(lǐng)域的學(xué)科,是指利用計(jì)算機(jī)或是專用處理設(shè)備,以數(shù)字形式對(duì)信號(hào)進(jìn)行采集、變換、濾波、估值、增強(qiáng)、壓縮、識(shí)別等處理,得到符合要求的信號(hào)形式。數(shù)字信號(hào)處理器是用于處理數(shù)字信號(hào)的器件,因此它是伴隨著數(shù)字信號(hào)處理才產(chǎn)生的

65、。</p><p>  DSP發(fā)展歷程大致分為三個(gè)階段:20世紀(jì)70年代理論先行,80年代產(chǎn)品普及和90年代的突飛猛進(jìn)。在DSP出現(xiàn)之前數(shù)字信號(hào)處理只能依靠微處理器(MPU)來(lái)完成。但MPU較低的處理速度無(wú)法滿足高速實(shí)時(shí)的要求。因此,直到20世紀(jì)70年代,有人才提出了DSP的理論和算法基礎(chǔ)。隨著大規(guī)模集成電路技術(shù)的發(fā)展,1982年世界上誕生了首枚DSP芯片。幾年后,第二代基于CMOS工藝的DSP芯片應(yīng)運(yùn)而生。80

66、年代后期,第三代DSP芯片問(wèn)世。90年代DSP發(fā)展最快,相繼出現(xiàn)了第四代和第五代DSP器件。經(jīng)過(guò)20多年的發(fā)展,DSP產(chǎn)品的應(yīng)用已擴(kuò)大到人們的學(xué)習(xí)、工作和生活的各個(gè)方面,并逐漸成為電子產(chǎn)品更新?lián)Q代的決定因素。</p><p><b>  附件二:外文原文</b></p><p>  The simulation and the realization of the d

67、igital filter</p><p>  With the information age and the advent of the digital world, digital signal processing has become one of today's most important disciplines and door technology. Digital signal pro

68、cessing in communications, voice, images, automatic control, radar, military, aerospace, medical and household appliances, and many other fields widely applied. In the digital signal processing applications, the digital

69、filter is important and has been widely applied.</p><p>  1、 figures Unit on :</p><p>  Analog and digital filters</p><p>  In signal processing, the function of a filter is to remo

70、ve unwanted parts of the signal, such as random noise, or to extract useful parts of the signal, such as the components lying within a certain frequency range. </p><p>  There are two main kinds of filter, a

71、nalog and digital. They are quite different in their physical makeup and in how they work. An analog filter uses analog electronic circuits made up from components such as resistors, capacitors and op amps to produce the

72、 required filtering effect. Such filter circuits are widely used in such applications as noise reduction, video signal enhancement, graphic equalisers in hi-fi systems, and many other areas. There are well-established st

73、andard techniques for d</p><p>  Note that in a digital filter, the signal is represented by a sequence of numbers, rather than a voltage or current. Unit refers to the input signals used to filter hardware

74、or software. If the filter input, output signals are separated, they are bound to respond to the impact of the Unit is separated, such as digital filters filter definition. Digital filter function, which was to import se

75、quences X transformation into export operations through a series Y.</p><p>  According to figures filter function 24-hour live response characteristics, digital filters can be divided into two, namely, unlim

76、ited long live long live the corresponding IIR filter and the limited response to FIR filters. IIR filters have the advantage of the digital filter design can use simulation results, and simulation filter design of a lar

77、ge number of tables may facilitate simple. It is the shortcomings of the nonlinear phase; Linear phase if required, will use the entire network phase-</p><p>  FIR filters can be strictly linear phase, but b

78、ecause the system FIR filter function extremity fixed at the original point, it can only use the higher number of bands to achieve their high selectivity for the same filter design indicators FIR filter called band than

79、a few high-IIR 5-10 times, the cost is higher, Signal delay is also larger. But if the same linear phase, IIR filters must be network-wide calibration phase, the same section also increase the number of filters and netwo

80、rk complexity</p><p>  Unit from sub-functions can be divided into the following four categories :</p><p>  (1) Low-filter (LPF);</p><p>  (2) high-filter (HPF);</p><p>

81、;  (3) belt-filter (BPF); </p><p>  (4) to prevent filter (BSF).</p><p>  The following chart dotted line for the ideals of the filter frequency characteristics :</p><p>  2、 MATLAB

82、 introduced</p><p>  MATLAB is a matrix laboratory (Matrix Laboratory) is intended. In addition to an excellent value calculation capability, it also provides professional symbols terms, word processing, vis

83、ualization modeling, simulation and real-time control functions. MATLAB as the world's top mathematical software applications, with a strong engineering computing, algorithms research, engineering drawings, applicati

84、ons development, data analysis and dynamic simulation, and other functions, in aerospace, mechanic</p><p>  In the electricity system protection and secondary computer control, many signal processing and ana

85、lysis are based on are certain types Yeroskipou and the second harmonics of the system voltage and current signals (especially at D process), are mixed with a variety of complex components, the filter has been installed

86、power system during the critical components. Current computer protection and the introduction of two digital signal processing software main filter. Digital filter design using trad</p><p>  MATLAB is the ba

87、sic unit of data matrix, with its directives Biaodashi mathematics, engineering, commonly used form is very similar, it is used to solve a problem than in MATLAB C, Fortran and other languages End precision much the same

88、 thing. The popular MATLAB 5.3/Simulink3.0 including hundreds of internal function with the main pack and 30 types of tool kits (Toolbox). kits can be divided into functional tool kits and disciplines toolkit. MATLAB too

89、l kit used to expand the functional symbols </p><p>  MATLAB users to open widely welcomed. In addition to the internal function, all the packages MATLAB tool kits are readable document and the document coul

90、d be amended, modified or users through Yuanchengxu the construction of new procedures to prepare themselves for kits.</p><p>  3、 Digital filter design</p><p>  Digital filter design of the bas

91、ic requirements</p><p>  Digital filter design must go through three steps :</p><p>  (1) Identification of indicators : In the design of a filter, there must be some indicators. These indicator

92、s should be determined on the basis of the application. In many practical applications, digital filters are often used to achieve the frequency operation. Therefore, indicators in the form of general jurisdiction given f

93、requency range and phase response. Margins key indicators given in two ways. The first is absolute indicators. It provides a function to respond to the demands of the gener</p><p>  (2) Model approach : Once

94、 identified indicators can use a previous study of the basic principles and relationships, a filter model to be closer to the target system.</p><p>  (3) Achieved : the results of the above two filters, usua

95、lly by differential equations, system function or pulse response to describe. According to this description of hardware or software used to achieve it.</p><p>  4、 Introduced FPGA</p><p>  Progr

96、ammable logic device is a generic logic can use a variety of chips, which is to achieve </p><p>  ASIC ASIC (Application Specific Integrated Circuit) semi-customized device, Its emergence and development of

97、electronic systems designers use CAD tools to design their own laboratory in the ASIC device. Especially FPGA (Field Programmable Gate Array) generated and development, as a microprocessor, memory, the figures for electr

98、onic system design and set a new industry standard (that is based on standard product sales catalogue in the market to buy). Is a digital system for microprocessors, memor</p><p>  Digital circuit design usi

99、ng FPGA devices, can not only simplify the design process and can reduce the size and cost of the entire system, increasing system reliability. They do not need to spend the traditional sense a lot of time and effort req

100、uired to create integrated circuits, to avoid the investment risk and become the fastest-growing industries of electronic devices group. Digital circuit design system FPGA devices using the following main advantages</

101、p><p>  Design flexible</p><p>  Use FPGA devices may not in the standard series device logic functional limitations. And changes in system design and the use of logic in any one stage of the proce

102、ss, and only through the use of re-programming the FPGA device can be completed, the system design provides for great flexibility.</p><p>  (2) Increased functional density</p><p>  Functional

103、density in a given space refers to the number of functional integration logic. Programmable logic chip components doors several high, a FPGA can replace several films, film scores or even hundreds of small-scale digital

104、IC chip illustrated in the film. FPGA devices using the chip to use digital systems in small numbers, thus reducing the number of chips used to reduce the number of printed size and printed, and will ultimately lead to a

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論