2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩15頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、<p>  畢 業(yè) 論 文 </p><p>  題 目: 具有定時(shí)功能的八路數(shù)顯搶答器的設(shè)計(jì) </p><p>  姓 名: </p><p>  專 業(yè): </p><p>  班

2、 級: </p><p>  學(xué) 號: </p><p>  指導(dǎo)教師: </p><p>  完成時(shí)間: 2008年4月

3、 </p><p><b>  目 錄</b></p><p><b>  摘 要2 </b></p><p>  Abstract 2 </p><p>  一 課題背景3 </p><p>  二 設(shè)計(jì)任務(wù)及系統(tǒng)功能簡介3

4、 </p><p>  三 實(shí)現(xiàn)的原理與電路 5</p><p>  1 數(shù)字搶答器設(shè)計(jì)方案 6</p><p>  2 單元電路設(shè)計(jì) 7</p><p>  3 報(bào)警電路 8</p><p>  4 時(shí)序控制電路 8 </p><p>  四 總體方案選擇的論證

5、 9</p><p>  五 在設(shè)計(jì)過程中發(fā)現(xiàn)的問題和所做的改進(jìn) 10</p><p>  六 組裝與調(diào)試 12</p><p>  七 所用元器件列表13</p><p>  八 改進(jìn)設(shè)想及建議 13 </p><p>  九 結(jié)束語 13 </p><p>  十

6、 參考文獻(xiàn) 14</p><p><b>  十一附錄14</b></p><p>  具有定時(shí)功能的八路數(shù)顯搶答器的設(shè)計(jì)</p><p><b>  摘要:</b></p><p>  本文介紹了一種用74系列常用集成電路設(shè)計(jì)的數(shù)碼顯示八路搶答器的電路組成、設(shè)計(jì)思路及功能。該搶答器除具有基本的

7、搶答功能外,還具有定時(shí)、計(jì)時(shí)和報(bào)警多重功能。主持人通過時(shí)間預(yù)設(shè)開關(guān)預(yù)設(shè)供搶答的時(shí)間,系統(tǒng)將完成自動倒計(jì)時(shí)。若在規(guī)定的時(shí)間內(nèi)有人搶答,則計(jì)時(shí)將自動停止;若在規(guī)定的時(shí)間內(nèi)無人搶答,則系統(tǒng)中的蜂鳴器將發(fā)響,提示主持人本輪搶答無效,同時(shí)實(shí)現(xiàn)報(bào)警功能。</p><p><b>  關(guān)鍵詞:</b></p><p>  八路, 搶答器, 設(shè)計(jì),定時(shí),計(jì)時(shí),報(bào)警</p>

8、<p><b>  Abstract:</b></p><p>  In this paper, the electric circuit and designing thought of an answering racer based on the common-used series of 74 IC with 8-wire is introduced, and its

9、function is also described. The answering race’s function includes timing, counting, and alarming, besides the basic function of an answering racer. The host sets the provided time for the answering race through the time

10、-setting switch, after this the system will count down the time automatically. If anybody answer the question on time, the c</p><p>  Keywords: </p><p>  8-wire, answering racer, design, timing,

11、 counting, alarming</p><p><b>  一 課題背景</b></p><p>  工廠、學(xué)校和電視臺等單位常舉辦各種智力競賽, 搶答記分器便成為必要設(shè)備之一。在我校舉行的各種競賽中我們經(jīng)??吹接袚尨鸬沫h(huán)節(jié),舉辦方多數(shù)采用讓選手通過舉答題板的方法判斷選手的答題權(quán),這在某種程度上會由于主持人的主觀誤斷帶來比賽的不公平性。為解決這個(gè)問題,我就此問

12、題制作一個(gè)低成本但又能滿足這些活動需要的八路數(shù)顯搶答器,同時(shí)也作為我的畢業(yè)設(shè)計(jì)課題.</p><p>  二、設(shè)計(jì)任務(wù)及系統(tǒng)功能簡介</p><p><b>  1.基本功能:</b></p><p>  (1) 搶答器同時(shí)供8名選手或8個(gè)代表隊(duì)比賽,分別用8個(gè)按鈕S0 ~ S7表示。(2) 設(shè)置一個(gè)系統(tǒng)清除和搶答控制開關(guān)S,該開關(guān)由主持人控

13、制。(3) 搶答器具有鎖存與顯示功能。即選手按動按鈕,鎖存相應(yīng)的編號,揚(yáng)聲器發(fā)出聲響提示,并在DPY_7-SEG七段數(shù)碼管上顯示選手號碼。選手搶答實(shí)行優(yōu)先鎖存,優(yōu)先搶答選手的編號一直保持到主持人將系統(tǒng)清除為止。</p><p><b>  2.擴(kuò)展功能:</b></p><p>  (1) 搶答器具有定時(shí)搶答功能,且一次搶答的時(shí)間由主持人設(shè)定(如30秒)。當(dāng)主持人啟

14、動"開始"鍵后,定時(shí)器進(jìn)行減計(jì)時(shí)。</p><p>  (2) 參賽選手在設(shè)定的時(shí)間內(nèi)進(jìn)行搶答,搶答有效,定時(shí)器停止工作,顯示器上顯示選手的編號和搶答的時(shí)間,并保持到主持人將系統(tǒng)清除為止。在這段(3) 如果定時(shí)時(shí)間已到,無人搶答,本次搶答無效,系統(tǒng)報(bào)警并禁止搶答,定時(shí)顯示器上顯示00。</p><p>  三、實(shí)現(xiàn)的原理與電路</p><p> 

15、 1.?dāng)?shù)字搶答器總體方框圖     如圖1所示為總體方框圖。其工作原理為:接通電源后,主持人將開關(guān)撥到"清零"狀態(tài),搶答器處于禁止?fàn)顟B(tài),編號顯示器滅燈,定時(shí)器顯示設(shè)定時(shí)間;主持人將開關(guān)置;開始"狀態(tài),宣布"開始"搶答器工作。定時(shí)器倒計(jì)時(shí),揚(yáng)聲器給出聲響提示。選手在定時(shí)時(shí)間內(nèi)搶答時(shí),搶答器完成:優(yōu)先判斷、編號鎖存、編號顯示、揚(yáng)聲器提示。當(dāng)一輪搶答

16、之后,定時(shí)器停止、禁止二次搶答、定時(shí)器顯示剩余時(shí)間。如果再次搶答必須由主持人再次操作"清除"和"開始"狀態(tài)開關(guān)。</p><p><b>  主體電路</b></p><p><b>  擴(kuò)展電路</b></p><p><b>  圖1</b></p&g

17、t;<p><b>  設(shè)計(jì)框圖</b></p><p>  2.單元電路設(shè)計(jì)   (1) 搶答器電路     設(shè)計(jì)電路如圖2所示。電路選用優(yōu)先編碼器 74LS148 和鎖存器 74LS297 來完成。該電路主要完成兩個(gè)功能:一是分辨出選手按鍵的先后,并鎖存優(yōu)先搶答者的編號,同時(shí)譯碼顯示電路顯示編號(顯示

18、電路采用七段數(shù)字?jǐn)?shù)碼顯示管);二是禁止其他選手按鍵,其按鍵操作無效。工作過程:開關(guān)S置于"清除"端時(shí),RS觸發(fā)器的 R、S端均為0,4個(gè)觸發(fā)器輸出置0,使74LS148的優(yōu)先編碼工作標(biāo)志端(圖中5號端)=0,使之處于工作狀態(tài)。當(dāng)開關(guān)S置于"開始"時(shí),搶答器處于等待工作狀態(tài),當(dāng)有選手將搶答按鍵按下時(shí)(如按下S5),74LS148的輸出經(jīng)RS鎖存后,CTR=1,RBO(圖中4端) =1,七段顯示電路7

19、4LS48處于工作狀態(tài),4Q3Q2Q=101,經(jīng)譯碼顯示為“5”。此外,CTR=1,使74LS148 優(yōu)先編碼工作標(biāo)志端(圖中5號端)=1,處于禁止?fàn)顟B(tài),封鎖其他按鍵的輸入。當(dāng)按鍵松開即按下時(shí),74LS148的 此時(shí)由于仍為CTR=1,使優(yōu)先編碼工作標(biāo)志端(圖中5號端)=1,所以74LS148仍處于禁止?fàn)顟B(tài),確保不會出二次按鍵時(shí)輸入信號,保證了搶答者</p><p>  圖2 數(shù)字搶答器電路</p>

20、<p>  表1 74LS148的功能真值表</p><p><b>  (2)定時(shí)電路</b></p><p>  圖3 可預(yù)置時(shí)間的定時(shí)電路 </p><p>  原理及設(shè)計(jì):該部分主要由555定時(shí)器秒脈沖產(chǎn)生電路、十進(jìn)制同步加減計(jì)數(shù)器74LS192減法計(jì)數(shù)電路、74LS48譯碼電路和2個(gè)7段數(shù)碼管即相關(guān)電路組成。具體電路如圖

21、3所示。兩塊74LS192實(shí)現(xiàn)減法計(jì)數(shù),通過譯碼電路74LS48顯示到數(shù)碼管上,其時(shí)鐘信號由時(shí)鐘產(chǎn)生電路提供。74192的預(yù)置數(shù)控制端實(shí)現(xiàn)預(yù)置數(shù),由節(jié)目主持人根據(jù)搶答題的難易程度,設(shè)定一次搶答的時(shí)間,通過預(yù)置時(shí)間電路對計(jì)數(shù)器進(jìn)行預(yù)置,計(jì)數(shù)器的時(shí)鐘脈沖由秒脈沖電路提供。按鍵彈起后,計(jì)數(shù)器開始減法計(jì)數(shù)工作,并將時(shí)間顯示在共陰極七段數(shù)碼顯示管DPY_7-SEG 上,當(dāng)有人搶答時(shí),停止計(jì)數(shù)并顯示此時(shí)的倒計(jì)時(shí)時(shí)間;如果沒有人搶答,且倒計(jì)時(shí)時(shí)間到時(shí)

22、,輸出低電平到時(shí)序控制電路,控制報(bào)警電路報(bào)警,同時(shí)以后選手搶答無效。下面結(jié)合圖4具體講一下標(biāo)準(zhǔn)秒脈沖產(chǎn)生電路的原理。結(jié)合圖4,圖中電容C的放電時(shí)間和充電時(shí)間分別為</p><p><b>  ,</b></p><p>  于是從NE555的3端輸出的脈沖的頻率為</p><p>  ,結(jié)合我們的實(shí)際經(jīng)驗(yàn)及考慮到元器件的成本,我們選擇的電阻值為

23、R1=15K,R2=68K,C=10uF,代入到上式中即得,即秒脈沖。</p><p>  表2 555定時(shí)器功能表</p><p>  圖4 標(biāo)準(zhǔn)秒脈沖產(chǎn)生電路 </p><p> ?。?)報(bào)警電路由555定時(shí)器和三極管構(gòu)成的報(bào)警電路如圖5示。其中NE555構(gòu)成多諧振蕩器,振蕩頻率 =1.43/[(RI+2R2)C],其輸出信號經(jīng)三極管推動揚(yáng)聲器。PR為時(shí)序

24、控制電路輸出的控制信號,當(dāng)PR為高電平時(shí),多諧振蕩器工作,反之,電路停振。</p><p><b>  圖5 報(bào)警電路</b></p><p>  (4)時(shí)序控制電路    時(shí)序控制電路是搶答器設(shè)計(jì)的關(guān)鍵,它要完成以下三項(xiàng)功能:①主持人將控制開關(guān)撥到"開始"位置時(shí),搶答電路和定時(shí)電路進(jìn)入正常搶答工作狀態(tài)。

25、 ②當(dāng)參賽選手按動搶答按鍵時(shí),揚(yáng)聲器發(fā)聲,搶答電路和定時(shí)電路停止工作。③當(dāng)設(shè)定的搶答時(shí)間到,無人搶答時(shí),揚(yáng)聲器自動報(bào)警,表示此次搶答無效?,?</p><p>  圖 6 時(shí)序控制電路</p><p>  根據(jù)上面的功能要求以及圖2,設(shè)計(jì)的時(shí)序控制電路如圖6所示。圖中,與門G1 的作用是控制時(shí)鐘信號CP的放行與禁止,門G2的作用是控制74LS148的輸入使能端(即圖二中的5端)。圖4的

26、工作原理是:主持人控制開關(guān)從"清除"位置撥到"開始"位置時(shí),來自于圖2中的74LS279的輸出 1Q,即CTR=0,經(jīng)G3反相,輸出為1,則NE555產(chǎn)生的時(shí)鐘信號CP能夠加到74LS192的CPD時(shí)鐘輸入端(圖中用CLCK表示接入到74LS192CPD端的信號),定時(shí)電路進(jìn)行遞減計(jì)時(shí)。同時(shí),在定時(shí)時(shí)間未到時(shí),則"定時(shí)到信號"為 1,門G2的輸出 =0,使 74LS148處于正

27、常工作狀態(tài),從而實(shí)現(xiàn)功能①的要求。當(dāng)選手在定時(shí)時(shí)間內(nèi)按動搶答按鍵時(shí),CTR=1,經(jīng)G3反相,輸出為0,封鎖 CP信號,定時(shí)器處于保持工作狀態(tài);同時(shí),門G2的輸出 =1,74LS148處于禁止工作狀態(tài),從而實(shí)現(xiàn)功能②的要求。當(dāng)定時(shí)時(shí)間到時(shí),則"定時(shí)到信號"為0,/ST=1,74LS148處于禁止工作狀態(tài),禁止選手進(jìn)行搶答。同時(shí),門G1處于關(guān)門狀態(tài),封鎖時(shí)鐘CP信號,使定時(shí)電路保持00狀態(tài)不變,從而實(shí)現(xiàn)功能③的要求。&l

28、t;/p><p>  集成單穩(wěn)態(tài)觸發(fā)器74LS121用于控制報(bào)警電路及發(fā)聲的時(shí)間(其功能表見表3),具體原理如下:主要由555時(shí)鐘電路(用于控制報(bào)警聲音頻率)、蜂鳴器即相關(guān)的延時(shí)電路和控制電路組成。單穩(wěn)態(tài)觸發(fā)器74121通過信號/Ys、BO2、S控制報(bào)警與否和報(bào)警時(shí)間,555時(shí)鐘電路產(chǎn)生脈沖時(shí)鐘。在規(guī)定的時(shí)間有人搶答時(shí),/Ys由1跳變到0,74121有狀態(tài)2(見表3狀態(tài)編碼),即Q輸出暫態(tài)高電平,蜂鳴器連續(xù)發(fā)聲報(bào)警,

29、持續(xù)時(shí)間為=4.3秒;如果在規(guī)定時(shí)間內(nèi)無人搶答,BO2由1跳變到0,74121有狀態(tài)1,Q輸出暫態(tài)高電平,蜂鳴器連續(xù)發(fā)聲報(bào)警持續(xù)時(shí)間為結(jié)合圖5所示報(bào)警電路,分析計(jì)算如下:。?。?00uF, =51K,。有=4.3秒。</p><p>  表3 74121功能表</p><p>  四、總體方案選擇的論證。 </p><p> ?、?4系列芯片實(shí)現(xiàn)原理圖:&

30、lt;/p><p><b> ?。ㄔ斠妶D1)</b></p><p>  (3)選用74系列芯片實(shí)現(xiàn)的理由:</p><p>  74系列芯片價(jià)格便宜,用其實(shí)現(xiàn),成本較低基本能滿足學(xué)校場合需要;</p><p>  與我們剛剛學(xué)完的 〈〈數(shù)字電路與邏輯設(shè)計(jì)〉〉聯(lián)系緊密。能將們所學(xué)知識用于實(shí)際,對鞏固所學(xué)知識有重要意義。<

31、;/p><p>  用了一些成型電路,如NE555標(biāo)準(zhǔn)秒脈沖電路等,使總體方案易于實(shí)現(xiàn)。</p><p>  所用芯片均在〈〈數(shù)字電路與邏輯設(shè)計(jì)〉〉課程中學(xué)過,設(shè)計(jì)起來難度降低。</p><p>  五、在設(shè)計(jì)過程中發(fā)現(xiàn)的問題和所做的改進(jìn)</p><p>  選手號碼的顯示問題:在設(shè)計(jì)過程中,我們發(fā)現(xiàn)按下?lián)尨鸢粹o后,我們希望其在七段數(shù)碼顯示管上顯

32、示的選手號碼是1到8,這樣符合我們一般的思維,而按照我們一開始所做的設(shè)計(jì),數(shù)碼管只能顯示0到7,我們在認(rèn)真研究體設(shè)計(jì)方案和7448譯碼器的功能表(見表四)后,發(fā)現(xiàn)解決此問題只須將顯示0改為顯示8,而其他的顯示則不改變。由表四可以看出,有兩種方案可供選擇:1是將7448的A3端置1,其他位置0;2是將圖2中的4端置1,其他位不管。但是在計(jì)時(shí)未到時(shí),4端始終為低電平,所以如果采用方案2的話,我們的整設(shè)計(jì)就將全部打亂,故方案2不可取。我們采用

33、了方案1。我們通過觀察表四看出,只有在字形(見表四)為0時(shí),/A2/A1/A0=1,其他均為0。于是我們就將A2、A1、A0 三端先接入非門7404,然后將他們接入與門7408,7408的輸出接7446的A3端,這樣就實(shí)現(xiàn)了顯示8的功能,其他的數(shù)碼的顯示并無影響。</p><p>  在計(jì)時(shí)結(jié)束時(shí)讓選手號碼顯示牌顯示0而不是8的問題。承1中所述,我們實(shí)現(xiàn)了選手號碼顯示8的問題,但隨之而來的是當(dāng)計(jì)時(shí)結(jié)束時(shí)因?yàn)锳2

34、、A1、A0均為零,導(dǎo)致我們在做出(1)中所述改動后,選手號碼顯示牌上顯示的為8而不是我們要求的0。此時(shí)我們?nèi)匀皇峭ㄟ^研究我們的總體電路圖來解決這個(gè)問題的。我們知道,只有在字形(見表四)為0時(shí),/A2/A1/A0=1,其他時(shí)候其值均為0。當(dāng)計(jì)時(shí)時(shí)間到時(shí),定時(shí)到信號輸出為0,若將定時(shí)到時(shí)間信號與/A1、/A2、/A0一起接入與門,然后再接入到A3端的話,那么在定時(shí)到時(shí),A3、A2、A1、A0均為0,經(jīng)7448譯碼輸出即為0。</p&

35、gt;<p>  主持人按下?lián)尨鸢存I時(shí)選手號碼牌顯示為7而不是我們要求的0的問題。在電路焊接過程中,我們在主持人的按鍵選擇上選擇了點(diǎn)觸式開關(guān),因?yàn)槲磳@種開關(guān)做細(xì)致的分析,我們發(fā)現(xiàn)每次主持人按下?lián)尨鸢存I選手號碼顯示牌上總是為7。經(jīng)過我們的認(rèn)真分析,我們找到了原因,是我們的開關(guān)選擇出了問題。點(diǎn)觸式開關(guān)在按下后馬上又彈起,此即問題的所在。于是我們很快將其更換為單刀雙置開關(guān),問題得到了解決。</p><p&g

36、t;  顯示電路顯示不穩(wěn)定的問題。在完成電路的焊接,進(jìn)入調(diào)試階段時(shí),我們的搶答器顯示電路出現(xiàn)了不穩(wěn)定的問題。主要表現(xiàn)在當(dāng)我們在完成一輪搶答后對計(jì)時(shí)時(shí)間進(jìn)行重新設(shè)定時(shí),顯示部分會出現(xiàn)亂碼的現(xiàn)象。開始我們以為是芯片出了問題,于是對芯片進(jìn)行了逐個(gè)排查,確定芯片沒有損壞后,我們開始檢查導(dǎo)線的焊接情況。我們利用萬用表對接線處逐個(gè)進(jìn)行了排查,最后發(fā)現(xiàn)某芯片的一個(gè)引腳處的導(dǎo)線松了。我們對導(dǎo)線進(jìn)行了重新焊接,過后系統(tǒng)恢復(fù)了穩(wěn)定。 表4

37、</p><p>  六、組裝與調(diào)試1.使用的主要儀器、儀表 ①多路直流穩(wěn)壓電源YB1719; ②數(shù)字萬用表MY61; ③數(shù)字示波器TDS1012; ④函數(shù)發(fā)生器EE1411;</p><p><b>  2.電路調(diào)試</b></p><p>  (1) 單元電路檢測</p><p><b> ?、贀?/p>

38、答電路</b></p><p>  把主持人的控制開關(guān)設(shè)置為“清除”位置,用萬用表檢查RS觸發(fā)器的端為低電平,輸出端(4Q~1Q)全部為低電平。于是74LS48的4端/BI/RBO=0,顯示器滅燈;74LS148的選通輸入端,即5端/ST=0,74LS148處于工作狀態(tài),此時(shí)鎖存電路不工作。然后把主持人的控制開關(guān)撥到“開始”位置,優(yōu)先編碼電路和鎖存電路同時(shí)處于工作狀態(tài),即搶答器處于等待工作狀態(tài),給8路

39、搶答端口即輸入端…給上低電平的輸入信號,如當(dāng)有選手將搶答按鍵按下時(shí)(如按下),74LS148的輸出=010,=0,經(jīng)RS鎖存器后,74279的輸出1Q,即CTR=1,/BI/RBO =1,74LS279處于工作狀態(tài),輸出端4Q3Q2Q=101,=0,經(jīng)RS鎖存器后,出“5”。此外,CTR=1,使74LS148仍處于禁止工作狀態(tài),其它按鍵的輸入信號不會被接收。</p><p><b> ?、诙〞r(shí)電路<

40、;/b></p><p>  用示波器檢查555的輸出波形是否為1Hz的方波信號,如不是對555的外圍電路進(jìn)行調(diào)整達(dá)到要求為止。給74LS192的數(shù)據(jù)輸入端設(shè)定一次搶答的時(shí)間,如35秒(00110101)的八位數(shù)據(jù)。觀察顯示器的顯示時(shí)間是否進(jìn)行減計(jì)數(shù)。有問題按原理進(jìn)行修改。</p><p>  ③時(shí)序控制及報(bào)警電路</p><p>  <1> 主持

41、人將控制開關(guān)撥到“開始”位置時(shí),搶答電路和定時(shí)電路進(jìn)入正常搶答工作狀態(tài)。</p><p>  <2> 當(dāng)參賽選手按動搶答按鍵時(shí),揚(yáng)聲器發(fā)聲,搶答電路和定時(shí)電路停止工作。</p><p>  <3> 當(dāng)設(shè)定的搶答時(shí)間到,無人搶答時(shí),揚(yáng)聲器發(fā)聲,同時(shí)搶答電路和定時(shí)電路停止工作。</p><p><b>  2.整機(jī)電路調(diào)試</b&

42、gt;</p><p> ?、匍_始時(shí),主持人將控制開關(guān)接地,搶答電路部分鎖存器74LS279的狀態(tài)輸出全為0,74LS48的滅燈輸入與鎖存器74LS279的Q1相接,故搶答電路無顯示(清除);與此同時(shí),在計(jì)時(shí)電路部分,減法計(jì)數(shù)器74LS192的預(yù)置數(shù)端為0,將事先的預(yù)置數(shù)送入減法計(jì)數(shù)器中。當(dāng)主持人按鍵彈起時(shí),計(jì)數(shù)器開始計(jì)數(shù)工作,搶答開始。</p><p>  ②在沒有人按鍵且搶答時(shí)間沒到時(shí)

43、,優(yōu)先編碼器/Yex輸出為1,計(jì)數(shù)器BO2輸出為1,74148的5端,即=0, 而優(yōu)先編碼器和計(jì)數(shù)器都正常工作;</p><p>  ③當(dāng)在規(guī)定時(shí)間有人按下?lián)尨鸢存I時(shí),/YEX輸出為“0”, CTR=1,/ST=1,優(yōu)先編碼器停止工作,此后選手的搶答無效,電路將按鍵者的編號顯示在LED上;</p><p>  同時(shí),CTR=1,計(jì)數(shù)部分的計(jì)數(shù)脈沖=0,計(jì)數(shù)器停止工作,此時(shí)的倒計(jì)時(shí)時(shí)間記錄并

44、顯示在LED上;74148的/Ys端由1跳變到0,74121有狀態(tài)2,即Q輸出暫態(tài)高電平,蜂鳴器連續(xù)發(fā)聲報(bào)警,持續(xù)時(shí)間為=4.3秒。 </p><p>  ④如果在規(guī)定時(shí)間內(nèi)無人搶答,BO2由1跳變到0,74121有狀態(tài)2, Q輸出暫態(tài)高電平,蜂鳴器連續(xù)發(fā)聲報(bào)警持續(xù)時(shí)間為=4.3 秒;ST=1,搶答電路停止工作,此后的搶答按鍵無效。</p><p><b>  七、所用元器件列表

45、</b></p><p><b>  八、改進(jìn)設(shè)想及建議</b></p><p><b>  2.建議:</b></p><p> ?。?)我設(shè)計(jì)的作品主要是用74系列集成芯片來完成的,在焊接的過程中由于芯片的引腳過多,布線工作不是很方便。有時(shí)候還因?yàn)槟骋桓€沒有焊牢,造成電路的不穩(wěn)定,這些都是有待改進(jìn)的。我們

46、的想法是根據(jù)單片機(jī)原理及相關(guān)知識對我們的設(shè)計(jì)進(jìn)行一些改進(jìn)。</p><p>  (2)完成電路的設(shè)計(jì)后,我們進(jìn)行了電路的模擬,我們采用的方法是在面包板上進(jìn)行模擬,雖然結(jié)果是模擬出來了,但是效率很低。面包板存在著很多的不確定性。比如板上有些插孔不可用等因素可能導(dǎo)致模擬失敗,即便有些時(shí)候設(shè)計(jì)是對的。因此我們建議學(xué)習(xí)一些常用電路仿真工具,如EWB、MAX-PLUS II等EDA軟件對設(shè)計(jì)出的電路進(jìn)行仿真和模擬,這樣既可

47、以提高效率,又可以提高模擬的準(zhǔn)確性。</p><p>  九、結(jié)束語 經(jīng)過了兩個(gè)多月的學(xué)習(xí)和實(shí)習(xí),在指導(dǎo)老師的悉心指導(dǎo)和嚴(yán)格要求下,我終于完成了《八路數(shù)顯搶答器的設(shè)計(jì)》的論文。從課題選擇、方案論證到具體設(shè)計(jì),每一步對我來說無疑是巨大的嘗試和挑戰(zhàn),也成就了我在大學(xué)期間獨(dú)立完成的最大的項(xiàng)目。在畢業(yè)設(shè)計(jì)的整個(gè)中,我學(xué)到很多有用的知識,也積累了不少寶貴的項(xiàng)目開發(fā)經(jīng)驗(yàn)。在此要特別感謝我的老師,同學(xué)他們給我了很大的

48、鼓勵(lì)和支持,以及富士康科技集團(tuán),他們?yōu)槲易鰧?shí)驗(yàn)提供了良好的實(shí)驗(yàn)環(huán)境, 記得在剛接到這個(gè)課題時(shí),由于對搶答器以及相關(guān)知識不是很了解,我都有些茫然不知所措。于是我給自己提出了第一個(gè)問題:設(shè)計(jì)好一個(gè)搶答器需要什么具體的專業(yè)知識?帶著這個(gè)疑問我開始了獨(dú)立地學(xué)習(xí)和實(shí)驗(yàn):去圖書館查閱相關(guān)資料、上網(wǎng)去了解相關(guān)技術(shù)的最新動向.漸漸頭腦中的概念清晰了起來。在具體設(shè)計(jì)的過程中,我遇到了更大的困難。我不斷地給自己提出新的問題,然后去論證、推翻,再接著提出新的

49、問題。在這個(gè)循環(huán)往復(fù)的過程中,我這篇稚嫩的設(shè)計(jì)日臻完善。每一次改進(jìn)我都收獲良多,每一次修改后的成功我都能興奮好長一段時(shí)間。雖然我的設(shè)計(jì)作品不是很成熟,即使借鑒前人的很多資料仍然還有很多不足之處,但我仍然</p><p><b>  十、參考文獻(xiàn) </b></p><p>  劉海洋. 現(xiàn)代電子電工技術(shù).北京:北京郵電大學(xué)出版社,2007: PP. 38—54</

50、p><p>  李白,廖利.數(shù)字信號處理.重慶: 重慶大學(xué)出版社,2006: PP. 23—31 </p><p>  歐陽.大學(xué)生電子設(shè)計(jì)制作.上海: 復(fù)旦大學(xué)出版社,2008 PP. 181—194</p><p>  肖景 CMOS數(shù)字電路應(yīng)用300例,日本,電力出版社,2005. PP. 12—24 </p><p>  DAVID A.

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論