2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩14頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、<p>  遠(yuǎn)程智能溫度采集和顯示系統(tǒng)設(shè)計(jì)</p><p><b>  目錄</b></p><p>  一、系統(tǒng)設(shè)計(jì)...........................................................................................3</p><p>  1.1項(xiàng)目概

2、要............................................................................................................................3</p><p>  1.2設(shè)計(jì)任務(wù)和要求.............................................................

3、...................................................3</p><p>  二、硬件設(shè)計(jì)說明...................................................................................3</p><p>  2.1 硬件設(shè)計(jì)概要....................

4、...............................................................................................3</p><p>  2.2 信息處理模塊...........................................................................................

5、........................3</p><p>  2.3 溫度采集模塊...................................................................................................................4</p><p>  2.3.1 傳感器DS18B20簡介.....

6、.....................................................................................4</p><p>  2.3.2 實(shí)驗(yàn)?zāi)M電路圖..................................................................................................

7、..4</p><p>  2.4 報(bào)警模塊...........................................................................................................................4</p><p>  2.5 溫度顯示模塊.............................

8、......................................................................................4</p><p>  2.6 單片機(jī)通信模塊...................................................................................................

9、............5</p><p>  三、軟件設(shè)計(jì)說明...................................................................................5</p><p>  3.1軟件總體設(shè)計(jì)方案..........................................................

10、..................................................5</p><p>  3.1.1 軟件設(shè)計(jì)目標(biāo)........................................................................................................5</p><p>  3.1.2

11、軟件功能模塊劃分................................................................................................5</p><p>  3.2 軟件設(shè)計(jì)....................................................................................

12、.......................................5</p><p>  3.2.1 主流程圖................................................................................................................6</p><p>  3.3 總原理圖...

13、........................................................................................................................6</p><p>  3.4 總程序.....................................................................

14、..........................................................7</p><p>  四、調(diào)試及總結(jié)........................................................................................15</p><p>  4.1 調(diào)試............

15、.....................................................................................................................15</p><p>  4.2 出現(xiàn)的問題及解決辦法................................................................

16、.................................15</p><p>  五 總結(jié)....................................................................................................15</p><p><b>  系統(tǒng)設(shè)計(jì)</b></p>

17、<p><b>  1.1項(xiàng)目概要</b></p><p>  溫度的檢測與控制在現(xiàn)代經(jīng)濟(jì)與社會(huì)中有著舉足輕重的地位,與我們的生活息息相關(guān),密不可分,越發(fā)占有一席之地。例如在儲(chǔ)糧倉庫、智能樓宇、空調(diào)控制及其他的工農(nóng)業(yè)生產(chǎn)和科學(xué)研究中應(yīng)用廣泛。在溫度的檢測與控制方面,DS18B20小型溫度檢測系統(tǒng)及其數(shù)字溫度傳感器有許多突出的優(yōu)點(diǎn),其通過單總線與單片機(jī)連接,系統(tǒng)結(jié)構(gòu)簡單,抗干擾

18、能力強(qiáng),適合于惡劣環(huán)境下進(jìn)行現(xiàn)場溫度檢測,因此對(duì)于我們來設(shè)計(jì)并研究基于DS18B20的溫度檢測系統(tǒng)有主要的現(xiàn)實(shí)意義,從一方面講這不僅對(duì)于工農(nóng)業(yè)的發(fā)展,更對(duì)于國防的鞏固與建設(shè)起到重要的作用;另一方面,本設(shè)計(jì)能夠在一定程度上提高自己的單片機(jī)開發(fā)能力。</p><p>  1.2 設(shè)計(jì)任務(wù)和要求</p><p>  (1)要求通過DS18B20溫度傳感器采集溫度,經(jīng)過C51單片機(jī)處理后顯示到數(shù)

19、碼管上,并設(shè)置溫度上下限及報(bào)警提示。</p><p> ?。?)實(shí)現(xiàn)單片機(jī)間的串行通信:把單片機(jī)A所采集的溫度發(fā)送到單片機(jī)B上進(jìn)行顯示。</p><p><b>  二、硬件設(shè)計(jì)說明</b></p><p>  2.1 硬件設(shè)計(jì)概要</p><p>  根據(jù)需求,我們把系統(tǒng)分為四個(gè)模塊,即溫度采集模塊,報(bào)警模塊,溫度顯示

20、模塊,串行通信模塊。</p><p>  2.2 溫度采集模塊</p><p>  2.21傳感器DS18B20簡介</p><p>  DALLAS最新單線數(shù)字溫度傳感器DS18b20簡介新的“一線器件”,體積更小、適用電壓更寬、更經(jīng)濟(jì)。Dallas半導(dǎo)體公司的數(shù)字化溫度傳感器DS18b20是世界上第一片支持“一線總線“接口溫度傳感器。一線總線獨(dú)特而經(jīng)濟(jì)的特點(diǎn),

21、使用戶可輕松地組建傳感器網(wǎng)絡(luò),為測量系統(tǒng)的構(gòu)建引入全新的概念。DS18b20、DS1822“一線總線”數(shù)字化溫度傳感器同DS1820一樣,DS18b20也支持“一線總線”接口,測量溫度范圍為-55℃ ~ +125℃,在-10℃~ +85℃范圍內(nèi),精度為0.5℃。DS18b20的精度較差為2℃?,F(xiàn)場溫度直接以“一線總線”的數(shù)字方式傳輸,大大提高了系統(tǒng)的抗干擾性。適合于惡劣環(huán)境的現(xiàn)場溫度測量。與前一代產(chǎn)品不同,新的產(chǎn)品支持3V~ 5V的電壓

22、范圍,使系統(tǒng)設(shè)計(jì)更靈活、方便。而且新一代產(chǎn)品更便宜,體積更小。DS18b20內(nèi)部結(jié)構(gòu)主要由四部分組成:64位光刻ROM、溫度傳感器、非揮發(fā)的溫度報(bào)警觸發(fā)器TH和TL、配置寄存器。DS18b20的實(shí)驗(yàn)板原理接線圖如圖(2):</p><p>  圖(2)DS18B20實(shí)驗(yàn)板接線圖</p><p>  其中:DQ為數(shù)字信號(hào)輸入/輸出端;GND為電源地;VDD為外接供電電源輸入端。</p&

23、gt;<p>  2.2.2 實(shí)驗(yàn)?zāi)M電路圖</p><p>  溫度檢測控制模擬電路圖DS18B20元件及其連線如圖(3)所示,傳感器上檢測到的溫度同步顯示在數(shù)碼管上。</p><p>  圖(3)溫度檢測控制模塊電路圖</p><p><b>  2.3 報(bào)警模塊</b></p><p>  通過蜂鳴器

24、的鳴叫實(shí)現(xiàn)報(bào)警,如果溫度超過所設(shè)置的上限或低于所設(shè)置的下限值則蜂鳴器發(fā)出鳴叫。報(bào)警模擬圖如圖(4)所示:</p><p>  圖(4)報(bào)警模塊電路圖</p><p>  2.4 溫度顯示模塊</p><p>  通過采集DS18B20的溫度,同步顯示到LED顯示器上,精確到小數(shù)點(diǎn)后兩位。模擬連線如圖(5)所示:</p><p>  圖(5)溫

25、度顯示模塊電路圖</p><p>  *注:由于protuse里沒有zlg7290元件,故此元件為自己畫出模擬實(shí)驗(yàn)板上電路圖。</p><p>  2.5 串行通信模塊</p><p>  通過兩片AT89C51之間的通信,把甲機(jī)上DS18B20采集的溫度發(fā)送到乙機(jī)上并顯示出來。模擬連線圖如圖(6)所示:</p><p>  圖(6)串行通信

26、模塊電路圖</p><p><b>  三、軟件設(shè)計(jì)說明</b></p><p>  3.1軟件總體設(shè)計(jì)方案</p><p>  3.1.1 軟件設(shè)計(jì)目標(biāo)</p><p><b> ?。?)結(jié)構(gòu)合理</b></p><p>  程序應(yīng)該采用結(jié)構(gòu)模塊化設(shè)計(jì)。這不僅有利于程序的進(jìn)

27、一步擴(kuò)充,而且也有利于程序的修改和維護(hù)。在程序編程時(shí),要盡量使得程序的層次分明,易于閱讀和理解。</p><p><b> ?。?)操作性能好</b></p><p>  操作性能好是指使用方便。這點(diǎn)是、對(duì)數(shù)據(jù)采集系統(tǒng)來說是很重要的。</p><p>  3.1.2 軟件主要功能模塊劃分</p><p><b>

28、;  3.2 軟件設(shè)計(jì)</b></p><p><b>  3.2.1 流程圖</b></p><p><b>  是</b></p><p>  否 </p><p><b>  圖(7)主流程圖</b></p><

29、p>  3.3 總原理圖(模擬實(shí)驗(yàn)板接線圖)</p><p><b>  3.4 總程序</b></p><p> ?。?)主機(jī)程序(發(fā)送):</p><p>  #include<reg51.h></p><p>  #include<intrins.h></p><p

30、>  #include"ZLG7290.h"</p><p>  #include <math.H> //要用到取絕對(duì)值函數(shù)abs()</p><p>  #define uchar unsigned char</p><p>  void delayMS(unsigned cha

31、r i);</p><p>  void init(void); </p><p>  uchar t1,t2,t3,t4,t5,t6;</p><p>  uchar code digit[10]={0,1,2,3,4,5,6,7,8,9};</p><p>  uchar show[3];</p><p>  i

32、nt tempValue;</p><p>  sbit DQ = P3^3;</p><p>  sbit P10=P1^0; </p><p>  /***********************************延時(shí)函數(shù)**********************************/</p><p>  void delay

33、(unsigned int i)</p><p><b>  {</b></p><p>  while(i--);</p><p><b>  }</b></p><p>  void delay1(unsigned int m) //延時(shí)函數(shù), 對(duì)于11.0592MHz時(shí)鐘,

34、 </p><p><b>  {</b></p><p>  unsigned int j;</p><p>  while(m--)</p><p><b>  {</b></p><p>  for(j = 0; j <

35、 125; j++);</p><p><b>  }</b></p><p><b>  }</b></p><p>  /****************************初始化DS18B20子程序*************************/</p><p>  void rese

36、t()</p><p><b>  {</b></p><p><b>  DQ=1;</b></p><p>  delay(5); </p><p><b>  DQ = 0;</b></p><p>  dela

37、y(60); //拉低約800us, 符合協(xié)議要求的480us以上</p><p>  DQ = 1; //產(chǎn)生一個(gè)上升沿, 進(jìn)入等待應(yīng)答狀態(tài)</p><p><b>  delay(8);</b></p><p><b>  }&

38、lt;/b></p><p>  /****************************檢測應(yīng)答脈沖子程序**************************/ </p><p>  void retemp()</p><p><b>  {</b></p><p>  while(DQ);</p>

39、<p>  while(~DQ); //檢測到應(yīng)答脈沖</p><p><b>  delay(4);</b></p><p><b>  }</b></p><p>  /******************************數(shù)據(jù)位讀取子程序******

40、***********************/</p><p>  bit readBit()</p><p><b>  {</b></p><p>  unsigned int i;</p><p><b>  bit b;</b></p><p><b> 

41、 DQ = 0;</b></p><p>  i++; //延時(shí)約8us, 符合協(xié)議要求至少保持1us</p><p><b>  DQ = 1;</b></p><p>  i++; i++; //延時(shí)約16us, 符合協(xié)議要求的至

42、少延時(shí)15us以上</p><p><b>  b = DQ;</b></p><p><b>  i = 8;</b></p><p>  while(i>0) i--; //延時(shí)約64us, 符合讀時(shí)隙不低于60us要求</p><p><

43、b>  return b;</b></p><p><b>  }</b></p><p>  /**************************數(shù)據(jù)字節(jié)讀取子程序*****************************/</p><p>  unsigned char readByte()</p><

44、;p><b>  {</b></p><p>  unsigned int i;</p><p>  unsigned char j, dat;</p><p><b>  dat = 0;</b></p><p>  for(i=0; i<8; i++)</p><

45、p><b>  {</b></p><p>  j = readBit();</p><p>  //最先讀出的是最低位數(shù)據(jù)</p><p>  dat = (j << 7) | (dat >> 1);</p><p><b>  }</b></p>&l

46、t;p>  return dat;</p><p><b>  }</b></p><p>  /******************************寫命令子程序**************************/</p><p>  void writeByte(unsigned char dat)</p>&l

47、t;p><b>  {</b></p><p>  unsigned int i;</p><p>  unsigned char j;</p><p><b>  bit b;</b></p><p>  for(j = 0; j < 8; j++)</p><p&

48、gt;<b>  {</b></p><p>  b = dat & 0x01;</p><p>  dat >>= 1;</p><p>  //寫"1", 將DQ拉低15us后, 在15us~60us內(nèi)將DQ拉高</p><p><b>  if(b) </b&

49、gt;</p><p><b>  {</b></p><p><b>  DQ = 0;</b></p><p>  i++; i++; //拉低約16us, 符號(hào)要求15~60us內(nèi)</p><p><b>  DQ = 1; </b></p&

50、gt;<p>  i = 8; while(i>0) i--; //延時(shí)約64us, 符合寫時(shí)隙不低于60us要求</p><p><b>  }</b></p><p>  else //寫"0", 將DQ拉低60us~120us</p><p><

51、;b>  DQ = 0;</b></p><p>  i = 8; while(i>0) i--; //拉低約64us, 符號(hào)要求</p><p><b>  DQ = 1;</b></p><p>  i++; i++; </p>

52、<p><b>  }</b></p><p><b>  }</b></p><p>  /****************************啟動(dòng)溫度轉(zhuǎn)換子程序******************************/</p><p>  void sendChangeCmd()</p>

53、<p><b>  {</b></p><p>  reset(); //初始化DS18B20, 無論什么命令, 首先都要發(fā)起初始化</p><p>  retemp(); //等待DS18B20應(yīng)答</p><p>  delay1(1); /

54、/延時(shí)1ms, 因?yàn)镈S18B20會(huì)拉低DQ 60~240us作為應(yīng)答信號(hào)</p><p>  writeByte(0xcc); //寫入跳過序列號(hào)命令字 Skip Rom</p><p>  writeByte(0x44); //寫入溫度轉(zhuǎn)換命令字 Convert T</p><p><b>  }</b>&l

55、t;/p><p>  /****************************讀取溫度子程序**********************************/</p><p>  void sendReadCmd()</p><p><b>  {</b></p><p><b>  reset();<

56、/b></p><p><b>  retemp();</b></p><p>  delay1(1);</p><p>  writeByte(0xcc); //寫入跳過序列號(hào)命令字 Skip Rom</p><p>  writeByte(0xbe); /

57、/寫入讀取數(shù)據(jù)令字 Read Scratchpad</p><p><b>  }</b></p><p><b>  //獲取當(dāng)前溫度值</b></p><p>  int getTmpValue()</p><p><b>  {</b></p><p&

58、gt;  unsigned int tmpvalue;</p><p>  int value; //存放溫度數(shù)值</p><p><b>  float t;</b></p><p>  unsigned char low, high;</p><p>  sendReadCmd

59、();</p><p>  //連續(xù)讀取兩個(gè)字節(jié)數(shù)據(jù)</p><p>  low = readByte();</p><p>  high = readByte();</p><p>  //將高低兩個(gè)字節(jié)合成一個(gè)整形變量 </p><p>  tm

60、pvalue = high;</p><p>  tmpvalue <<= 8;</p><p>  tmpvalue |= low;</p><p>  value = tmpvalue; //使用DS18B20的默認(rèn)分辨率12位, 精確度為0.0625度, t = value * 0.0625;</p><

61、p>  //將它放大100倍, 使顯示時(shí)可顯示小數(shù)點(diǎn)后兩位, 并對(duì)小數(shù)點(diǎn)后第三進(jìn)行4舍5入 </p><p>  value = t * 100 + (value > 0 ? 0.5 :

62、-0.5); //大于0加0.5, 小于0減0.5</p><p>  return value;</p><p><b>  }</b></p><p>  /*****************************溫度顯示及報(bào)警子程序***************************/</p><p

63、>  void display(int v)</p><p><b>  {</b></p><p>  unsigned char count;</p><p>  unsigned char datas[] = {0, 0, 0, 0, 0};</p><p>  unsigned int tmp = abs(

64、v);</p><p>  t1 = tmp / 10000;</p><p>  t2 = tmp % 10000 / 1000;</p><p>  t3 = tmp % 1000 / 100;</p><p>  t4 = tmp % 100 / 10;</p><p>  t5 = tmp % 10;</

65、p><p>  ZLG7290_SendCmd(0x60,0x01f);</p><p>  delayMS(1);</p><p>  ZLG7290_SendCmd(0x61,0x1f);</p><p>  delayMS(1);</p><p>  ZLG7290_SendCmd(0x62,digit[t5]);&

66、lt;/p><p>  delayMS(1);</p><p>  ZLG7290_SendCmd(0x63,digit[t4]);</p><p>  delayMS(1);</p><p>  ZLG7290_SendCmd(0x64,digit[t3]|0x80);</p><p>  delayMS(1);<

67、;/p><p>  ZLG7290_SendCmd(0x65,digit[t2]);</p><p>  delayMS(1);</p><p><b>  if(t1==0)</b></p><p><b>  {</b></p><p>  ZLG7290_SendCmd(0

68、x66,0x1f);</p><p>  delayMS(1);</p><p><b>  }</b></p><p><b>  else</b></p><p><b>  {</b></p><p>  ZLG7290_SendCmd(0x66,

69、digit[t1]);</p><p>  delayMS(1);</p><p><b>  }</b></p><p>  show[0]=digit[t2];</p><p>  show[1]=digit[t3];</p><p>  show[2]=digit[t4];</p>

70、;<p>  show[3]=digit[t5];</p><p>  /*********************************報(bào)警模塊*****************************/</p><p><b>  if(t2>=3)</b></p><p><b>  {</b>

71、</p><p>  for(t6=0;t6<50;t6++)</p><p><b>  {</b></p><p><b>  P10=0;</b></p><p>  delay(99);</p><p><b>  P10=1;</b>&l

72、t;/p><p>  delay(90);}</p><p><b>  }</b></p><p><b>  else</b></p><p><b>  if(t2<=2)</b></p><p><b>  {</b>&l

73、t;/p><p><b>  if(t3<=7)</b></p><p><b>  {</b></p><p>  for(t6=0;t6<50;t6++)</p><p><b>  {</b></p><p><b>  P10=

74、0;</b></p><p>  delay(90);</p><p><b>  P10=1;</b></p><p>  delay(60);}</p><p><b>  }</b></p><p><b>  }</b></p&

75、gt;<p><b>  }</b></p><p>  /**************************串口初始化子程序******************************/</p><p>  void init(void) //初始化串口</p><p>

76、;<b>  {</b></p><p>  TMOD=0x20; //設(shè)置定時(shí)器1為工作方式2</p><p>  TH1=0xfd; //裝初值設(shè)置波特率</p><p><b>  TL1=0xfd;</

77、b></p><p>  TR1=1; //打開定時(shí)器1</p><p>  SM0=0; //8位異步收發(fā)</p><p><b>  SM1=1;</b></p><p>  

78、EA=1; //開總中斷</p><p>  ES=1; //開串行口中斷 </p><p><b>  }</b></p><p>  /**************************串口發(fā)送子程序

79、*******************************/</p><p>  void sendmengsse()</p><p><b>  {</b></p><p><b>  uchar i;</b></p><p><b>  SBUF='a';<

80、/b></p><p>  while(!TI);</p><p><b>  TI=0;</b></p><p>  for(i=0;i<5;i++)</p><p><b>  {</b></p><p>  SBUF=show[i];</p>

81、<p>  while(!TI);</p><p><b>  TI=0;</b></p><p><b>  }</b></p><p><b>  }</b></p><p>  /*********************************主函數(shù)*****

82、*************************/</p><p>  void main()</p><p><b>  {</b></p><p>  unsigned char i;</p><p>  init(); //串口初始化&l

83、t;/p><p><b>  while(1)</b></p><p><b>  {</b></p><p>  sendChangeCmd(); //啟動(dòng)溫度轉(zhuǎn)換

84、 </p><p>  display(tempValue); //溫度顯示 </p><p>  tempValue = getTmpValue();</p><p>  sendmengsse();</p><p><b>  }</b></p>&l

85、t;p><b>  }</b></p><p><b> ?。?)從機(jī)程序:</b></p><p>  #include<reg52.h></p><p>  #include<intrins.h></p><p>  #include "ZLG7290.H

86、"</p><p>  #define uchar unsigned char</p><p>  #define uint unsigned int</p><p>  uchar show[3];</p><p>  uchar temp;</p><p>  uchar num;</p>

87、<p>  uchar flag;</p><p>  sbit p13=P1^3;</p><p>  uchar t1,t2,t3,t4,a,b;</p><p>  void main()</p><p>  { uint y;</p><p>  TMOD=0x20; //設(shè)置定時(shí)器1為工作方

88、式2</p><p>  TH1=0xfd; //裝初值設(shè)置波特率</p><p><b>  TL1=0xfd;</b></p><p>  TR1=1; //打開定時(shí)器1</p><p>  REN=1; //串行允許接收</p><p>  SM0=0

89、; //8位異步收發(fā)</p><p><b>  SM1=1;</b></p><p>  EA=1; //開總中斷</p><p>  ES=1; //開串行口中斷 </p><p><b>  num=0;</b></p><

90、p><b>  flag=0;</b></p><p>  while(1) //等待中</p><p><b>  { </b></p><p>  if(t1==3&&flag==0x00)</p><p><b>  {</b></

91、p><p><b>  p13=~p13;</b></p><p>  for(y=20;y>0;y--);</p><p><b>  }</b></p><p><b>  }</b></p><p><b>  }</b>&

92、lt;/p><p>  void ser() interrupt 4</p><p><b>  { </b></p><p><b>  RI=0;</b></p><p><b>  a=SBUF;</b></p><p>  if(a=='

93、;a')</p><p><b>  {</b></p><p>  flag=0x01;</p><p><b>  }</b></p><p>  if(flag==0x01)</p><p><b>  {</b></p>&

94、lt;p><b>  b=num%5;</b></p><p><b>  if(b==1)</b></p><p><b>  { t1=a;}</b></p><p><b>  if(b==2)</b></p><p><b>  {

95、 t2=a;}</b></p><p><b>  if(b==3)</b></p><p><b>  {t3=a;}</b></p><p><b>  if(b==4)</b></p><p><b>  { </b></p>

96、<p><b>  t4=a;</b></p><p>  flag=0x00; </p><p>  ZLG7290_SendCmd(0x60+7,t1);</p><p>  ZLG7290_SendCmd(0x60+6,(t2|0x80));</p><p>  ZLG7290_SendCmd(0x

97、60+5,t3);</p><p>  ZLG7290_SendCmd(0x60+4,t4);</p><p><b>  }</b></p><p>  num=num++;</p><p><b>  }</b></p><p><b>  }</b>

98、;</p><p><b>  四、調(diào)試</b></p><p><b>  4.1 調(diào)試</b></p><p>  1. 安裝D3 區(qū)JP12 接口上的短路帽,將D3區(qū)J91接口DQ針與A2區(qū)J58接口INT1(P3.3)相連。</p><p>  2. 安裝D7區(qū)JP1接口上的短路帽,將D7區(qū)

99、J4接口SDA,SCL針與A2區(qū)J58接口P17,P16 相連。</p><p>  3. 將D7區(qū)J2接口/RST針接上高電平。</p><p>  4. 連接好RS232接口</p><p>  5. 安裝D7區(qū)JP1接口上的短路帽將D7區(qū)J4接口SDA,SCL針與A2區(qū)J58 接口P17,P16 相連。</p><p>  6. 將D7

100、區(qū)J2 接口 /RST 針接上高電平。</p><p>  運(yùn)行程序,進(jìn)行調(diào)試。</p><p>  4.2 出現(xiàn)的問題及解決辦法</p><p>  在單片機(jī)之間進(jìn)行通訊傳輸數(shù)據(jù)時(shí),從機(jī)顯示的數(shù)據(jù)會(huì)周期性的閃爍,每隔大概四次運(yùn)行周期,從機(jī)接受到的數(shù)據(jù)會(huì)進(jìn)行一次跳變,導(dǎo)致數(shù)碼管閃爍。</p><p>  我們將從機(jī)的接受程序進(jìn)行改編,每次只接

101、受一個(gè)數(shù)據(jù),接受到的數(shù)據(jù)任然會(huì)進(jìn)行閃爍。初步判斷為主機(jī)放松程序存在問題,所發(fā)送的數(shù)據(jù)存在跳變和亂碼。對(duì)主機(jī)程序進(jìn)行了認(rèn)真的查找和修改,未能修改成功,解決問題。</p><p><b>  總結(jié)</b></p><p>  本次的課程設(shè)計(jì),讓我收獲了很多東西,不僅是關(guān)于專業(yè)知識(shí)方面的收獲,我還在指導(dǎo)老師那懂得了一些道理。他是我們學(xué)校的教授,才評(píng)上的,是我們學(xué)校最年輕的一

102、個(gè)教授。</p><p>  在實(shí)驗(yàn)中,他系統(tǒng)的指導(dǎo)了我們。教會(huì)了我們一些小東西,關(guān)于軟件怎么能好用一點(diǎn),或者是怎么能簡單的去查找一個(gè)函數(shù)。這些很小很小的細(xì)節(jié),我從這理解到,做任何事都是一點(diǎn)點(diǎn)的去了解,去知道,去了解他微小的那一部分,你才能漸漸的去理解他這個(gè)整體?!癋12”一個(gè)查找函數(shù)所在位置的快捷鍵,類似這樣的種種快捷的東西,我們了解的知道的越多,我們的能力自然會(huì)提高得越多。</p><p&

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論