2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩41頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、<p><b>  畢業(yè)設(shè)計(論文)</b></p><p>  題 目: 基于單片機的智能 </p><p><b>  電子計數(shù)器的設(shè)計 </b></p><p>  畢業(yè)設(shè)計(論文)時間:二 0 一 一年 3 月 日~ 5 月 日 共 周</p><p>&l

2、t;b>  摘 要</b></p><p>  數(shù)字頻率計是電子測量領(lǐng)域中最常見的測量儀器之一。它可以測量方波和正弦波的頻率、周期和脈沖寬度等時間參數(shù)。</p><p>  本設(shè)計是由單片機控制的數(shù)字頻率計設(shè)計。本文在討論頻率測量的常用方法與原理的基礎(chǔ)上,闡述了等精度測頻系統(tǒng)的設(shè)計。系統(tǒng)包括穩(wěn)壓電源電路、信號放大整形電路、測頻電路、單片機電路模塊、標準頻率信號源、鍵盤模

3、塊、數(shù)碼顯示模塊等。采用軟硬件結(jié)合的方法,頻率、周期、脈寬和占空比的計算由單片機89C51完成,外圍電路其數(shù)字電路部分使用了CPLD,并采用VHDL語言進行設(shè)計描述,其輸入通道由模擬電路來實現(xiàn)。</p><p>  系統(tǒng)將單片機AT89C51的控制靈活性及CPLD芯片的現(xiàn)場可編程性相結(jié)合,不但大大縮短了開發(fā)研制周期,而且使本系統(tǒng)具有結(jié)構(gòu)緊湊、體積小、可靠性高、測頻范圍寬、精度高等優(yōu)點。</p>&l

4、t;p>  關(guān)鍵詞: 頻率計 單片機 CPLD 等精度</p><p><b>  Abstract</b></p><p>  Digital cymometer is one of the most common instruments of electronic measurement. It can measure time parameters

5、such as the square wave and the sine wave frequency, cycle and pulse width, and so on.</p><p>  The design is the digital cymometer based on the signal chip computer control. Based on the discussion of the c

6、ommonly used method of measuring frequency and on the basis of principle, it describes the design of frequency measurement system with the same accuracy including the power supply system circuit, signal amplification pla

7、stic circuit, measuring frequency circuits, MCU circuit module, the standard frequency signal source, keyboard module, the digital display module, and so on. It takes th</p><p>  Key Words: cymometer; signal

8、 chip computer; CPLD; equal precision</p><p><b>  目錄</b></p><p><b>  第一章 引言</b></p><p>  1.1 課題研究的現(xiàn)狀與發(fā)展趨勢</p><p>  1.2 課題研究的意義與作用</p>

9、<p>  1.3 數(shù)字頻率計的基本原理</p><p>  1.4 系統(tǒng)設(shè)計技術(shù)指標</p><p>  1.4.1 基本指標</p><p>  1.4.2 發(fā)揮部分</p><p>  第二章 系統(tǒng)硬件設(shè)計</p><p>  2.1 頻率測量的方法的研究</p><p

10、>  2.1.1 數(shù)字化直接測量頻率的原理</p><p>  2.1.2 數(shù)字化直接測量周期的原理</p><p>  2.1.3 多周期同步等精度測量的原理</p><p>  2.2 實驗方案的確定</p><p>  2.2.1 測量方法的確定</p><p>  2.2.2 頻率測量模塊的方法

11、</p><p>  2.2.3 周期測量模塊的方法</p><p>  2.2.4 脈沖寬度測量模塊的方法</p><p>  2.3 系統(tǒng)硬件設(shè)計</p><p>  2.3.1 穩(wěn)壓電源電路</p><p>  2.3.2 信號放大整形電路</p><p>  2.3.3 單片

12、機控制電路</p><p>  2.3.4 標準頻率信號源</p><p>  2.3.5 數(shù)碼管顯示模塊</p><p>  第三章 系統(tǒng)軟件設(shè)計</p><p>  3.1 CPLD測頻專用模塊的設(shè)計</p><p>  3.1.1 頻率計CPLD部分的VHDL程序</p><p&g

13、t;  3.1.2 頻率計CPLD部分的仿真</p><p>  3.2 單片機控制與運算程序的設(shè)計</p><p>  3.2.1 單片機主程序的設(shè)計</p><p>  3.2.2 頻率、周期計數(shù)子程序的設(shè)計</p><p>  3.2.3 脈寬、占空比子程序的設(shè)計</p><p>  3.2.4 鍵盤掃

14、描及數(shù)碼管顯示子程序的設(shè)計</p><p><b>  總結(jié)</b></p><p><b>  參考文獻</b></p><p><b>  致謝</b></p><p><b>  第一章 引言</b></p><p>

15、;  1.1 課題研究的現(xiàn)狀與發(fā)展趨勢</p><p>  隨著大規(guī)模集成電路技術(shù)的發(fā)展及電子產(chǎn)品市場運作節(jié)奏的進一步加快,涉及諸如計算機應用、通信、智能儀表、醫(yī)用設(shè)備、軍事、民用電器等領(lǐng)域的現(xiàn)代電子設(shè)計技術(shù)已邁入一個全新的階段。</p><p>  在電子測量中,頻率的測量精確度是非常高的。利用計數(shù)法測量頻率具有精度高、使用方便、容易實現(xiàn)測量過程自動化等一系列突出優(yōu)點,已成為目前頻率測量

16、的重要方法。人們將許多參數(shù)的測量轉(zhuǎn)換為頻率量來測量和處理。</p><p>  傳統(tǒng)的頻率計通常采用組合電路和時序電路等大量的硬件電路構(gòu)成,在使用過程中存在電路結(jié)構(gòu)復雜,測量精度低、故障率高、維護不易等問題,其產(chǎn)品不但體積較大,運行速度慢,而且測量低頻信號時不宜直接使用。頻率測量在科技研究和實際應用中的作用日益重要。測量的數(shù)字化、智能化是當前測量技術(shù)發(fā)展的趨勢。</p><p>  1.2

17、 課題研究的意義與作用</p><p>  數(shù)字頻率計數(shù)器又稱通用計數(shù)器,是電子測量領(lǐng)域中最常見的測量儀器之一。它可以測量正弦波的頻率(周期),脈沖波的頻率(周期),脈沖寬度等時間參數(shù)。</p><p>  隨著單片機技術(shù)的不斷發(fā)展,用單片機通過軟件設(shè)計,采用適當?shù)乃惴ㄈ〈@部分電路不僅能彌補上述不足,而且性能也將大有提高。針對普通頻率計存在讀數(shù)難、測量精度不高等問題, 目前采用單片機控制

18、的數(shù)字頻率計, 用于測量方波、正弦波或其它脈沖信號的頻率, 并用數(shù)字顯示, 具有精度高、測量迅速、讀數(shù)方便等優(yōu)點, 已經(jīng)在電子測量領(lǐng)域里得到了廣泛應用。</p><p>  MCS—51系列單片機具有體積小,功能強,性能價格比較高等特點,因此被廣泛應用于工業(yè)控制和智能化儀器,儀表等領(lǐng)域。本次設(shè)計的數(shù)字頻率計以AT89C51單片機為核心,具有性能優(yōu)良,精度高,可靠性好等特點。</p><p>

19、;  1.3 數(shù)字頻率計的基本原理</p><p>  頻率計的基本原理是用一個頻率穩(wěn)定度高的頻率源作為基準時鐘,對比測量其他信號的頻率。通常情況下計算每秒內(nèi)待測信號的脈沖個數(shù),此時我們稱閘門時間為1秒。</p><p>  閘門時間也可以大于或小于一秒。閘門時間越長,得到的頻率值就越準確,但閘門時間越長,則每測一次頻率的間隔就越長。閘門時間越短,測的頻率值刷新就越快,但測得的頻率精度就受

20、影響。</p><p>  數(shù)字頻率計是用數(shù)字顯示被測信號頻率的儀器,被測信號可以是正弦波,方波或其它周期性變化的信號。</p><p>  1.4 系統(tǒng)設(shè)計技術(shù)指標</p><p>  基于傳統(tǒng)測頻原理的頻率計的測量精度將隨被測信號頻率的下降而降低,在實用中有較大的局限性,而等精度頻率計不但具有較高的測量精度,而且在整個測頻區(qū)域內(nèi)保持恒定的測試精度。</p&

21、gt;<p>  課題要求運用單片機或者CPLD技術(shù),結(jié)合傳統(tǒng)直接測量頻率方法和等精度測量頻率的方法,實現(xiàn)高頻和低頻的測量,本系統(tǒng)設(shè)計的基本指標如下。</p><p>  1.4.1 基本指標</p><p>  (1) 頻率:10Hz~30MHz</p><p>  (2) 閘門時間為0.1秒、1秒</p><p> ?。?)

22、實現(xiàn)對頻率、周期和時間間隔的測量功能</p><p>  1.4.2 發(fā)揮部分</p><p>  (1) 信號:方波、正弦波</p><p>  (2) 幅度:0.02V~0.5V</p><p>  (3) 周期脈沖寬度幅度(0.5V~5V、頻率1Hz~1KHz)的占空比,占空比變化范圍為10%~90%,測試誤差≤1%。</p>

23、;<p>  第二章 系統(tǒng)硬件設(shè)計</p><p>  2.1頻率測量的方法的研究</p><p>  2.1.1 數(shù)字化直接測量頻率的原理</p><p>  無論頻率、周期還是時間間隔的數(shù)字化測量,均是基于主門(閘門)加計數(shù)器的結(jié)構(gòu)而實現(xiàn)的,圖2.1(a)示出了這種計數(shù)式直接測頻的原理框圖。其中主門(閘門)具有“與門”的邏輯功能。主門(閘門)的一個

24、輸入端送入的是頻率為的窄脈沖,它是由被測信號經(jīng)A通道放大整形后得到的。主門(閘門)的另一個輸入端送來的是來自門控雙穩(wěn)的閘門時間信號Ts。因為門控雙穩(wěn)是受時基(標準頻率)信號控制的,所以Ts即準確又穩(wěn)定。設(shè)計時通過晶體震蕩器和分頻器的配合,可以獲得10S、1S、0.1S等閘門時間。由于主門(閘門)的“與”功能,它的輸出端只有在閘門信號Ts有效時間才有頻率的窄脈沖輸出,并送到計數(shù)器去計數(shù)。設(shè)計數(shù)器的值為N,由頻率定義式可以計算得到被測信號頻

25、率為=N/Ts (2-1)</p><p>  其原理框圖和時序圖如圖2.1所示。</p><p><b>  直接測頻法原理框圖</b></p><p> ?。╞) 直接測頻法時序圖</p><p>  圖2.1 (a)直接測頻法原理框圖; (b)直接測頻法時序圖</p><

26、p>  由式(2-1)可知,當閘門時間T為1S時,N值即為被測量信號的頻率。該測量方法由于主門的開啟時間與被測信號之間不同步,而使計數(shù)值N帶有±1量化誤差;且當被測信號頻率越低時,該量化誤差的影響越大。若再考慮由晶體振蕩器引起的閘門時間誤差,對式(2-1)進行誤差的累積與合成運算后,可以得到直接測量測頻率誤差的計算公式如下:</p><p><b> ?。?-2)</b>&l

27、t;/p><p>  上式右邊第一項為量化誤差的相對值,其中=±1;第二項為閘門時間的相對誤差,數(shù)值上等于晶體振蕩器基準頻率的相對不確定度。在一定時,閘門時間T越長,測量準確度越高。而當T選定后,越高,由于±1誤差對測量結(jié)果的影響減小,測量準確度越高。但是隨著±1誤差的影響的減小,閘門時間(也即基準頻率)自身的準確度對測量結(jié)果的影響不可忽略,這時可以認為是計數(shù)式直接測頻率準確度的極限。&

28、lt;/p><p>  2.1.2 數(shù)字化直接測量周期的原理</p><p>  雖然直接測頻法可以測出單位時間內(nèi)脈沖的個數(shù)即頻率,但是對于較低頻率的信號其檢測誤差會大大增大,解決辦法就是改直接測頻法為直接測周期法。圖2.2(a)為計數(shù)式直接測周期的原理框圖。與測頻原理框圖相比,其中門控雙穩(wěn)改由輸入信號放大、整形和分頻后的脈沖控制,所以閘門時間的寬度就等于k倍被測信號的周期k;而主門的另一個輸

29、入端,送入由晶體震蕩器和分頻器產(chǎn)生的周期為T0的時標脈沖信號。由于主門的“與”功能,它的輸出端只有在閘門信號k期間有時標脈沖輸出,并送到計數(shù)器去計數(shù),計數(shù)器的值為N。不難看出,被測信號的周期為: (2-3) 其原理框圖和時序圖如圖2.2所示:</p><p><b>  測周期法原理框圖</b></p><p> ?。╞)測周期法時序圖</p>

30、<p>  圖2.2 (a)測周期法原理框圖; (b)測周期法時序圖</p><p>  與計數(shù)式測頻率相似,由于和T0之間也不是同步的,所以計數(shù)值N也帶有±1量化誤差;此外由于晶振的不確定度,時標的周期T0也存在誤差;最后,由于被測輸入信號噪聲的影響,使經(jīng)B通道放大整形后的脈沖周期中還引入了一種觸發(fā)誤差。對式(2-3)進行誤差的積累和合成運算,可以得到測周期誤差的計算公式如下:</p&

31、gt;<p>  (2-4) </p><p>  上式右邊第一項為量化誤差的相對值,其中計數(shù)誤差Δ=±1;第二項為時標的相對誤差;第三項為觸發(fā)誤差θ,其中R為被測信號與噪聲比,可由公式R=20㏒計算(單位為dB)。要降低觸發(fā)誤差就必須增大信噪比R,并采用多周期測量,還可以在整形電路中采用具有滯回特性電路來減小噪聲的影響。 </p><p>

32、  在倍率k和時標T0固定時,與測頻率相反,測量周期的誤差隨被測信號的頻率升高而增大,此外由于有限的信噪比,使觸發(fā)誤差成為影響測量周期準確度的主要因素。采用多周期測量可以有效的降低觸發(fā)誤差的影響。 </p><p>  2.1.3 多周期同步等精度測量的原理</p><p>  無論是直接測頻法還是測周期法,都無法保證閘門信號和另一信號的首尾實現(xiàn)同步,這就難以保證獲得較高的測量精度,其誤差

33、在一個脈沖之內(nèi)。由此,當引入多周期同步等精度測量法時,可以較好的解決這個問題。</p><p>  多周期同步等精度測量法的原理是:電路需引入一個比被測信號頻率高若干倍的內(nèi)部時基信號,測量結(jié)果的誤差范圍便在這一個時基信號范圍內(nèi)。首先由相應的控制電路給出閘門開啟信號,此時計數(shù)器并不開始計數(shù),而是等到被測信號的上升沿到來時才真正開始計數(shù)。然后,兩組計數(shù)器分別對被測信號和時基信號脈沖計數(shù),當控制電路給出閘門關(guān)閉信號,此

34、時計數(shù)器并不停止計數(shù),而是等到被測信號的上升沿到來時才真正停止計數(shù)。圖2.3中為輸入信號頻率,為時鐘脈沖的頻率。A、B兩個計數(shù)器在同一閘門時間T內(nèi)分別對和進行計數(shù),計數(shù)器A的計數(shù)值,計數(shù)器B的計數(shù)值為。由于NA/fx=N/fc=T,則被測頻率和周期分別為:</p><p><b>  (2-7)</b></p><p>  式(2-6)中=1/,為時鐘的周期。圖2.3

35、中同步電路(D觸發(fā)器)的作用在于使計數(shù)閘門信號與被測信號同步,實現(xiàn)同步開門,并且開門時間T準確地等于被測信號周期的整數(shù)倍,故式(2-6)、(2-7)中的計數(shù)沒有±1量化誤差。計數(shù)器雖然有量化誤差,但由于很高,遠大于1,所以的±1量化誤差的相對值(±1/)很小,且該誤差與被測頻率無關(guān),因此在整個測頻范圍內(nèi),多周期同步等精度測量法能夠?qū)崿F(xiàn)等精度的測量。該測試方法需要的除法功能運算,對于使用微處理器的儀器來說,是

36、不難實現(xiàn)的。</p><p>  考慮計數(shù)值的±1量化誤差、時鐘的不確定度和同步門的觸發(fā)誤差時,根據(jù)式(2-6)和式(2-7)可以推導出倒數(shù)計數(shù)器的測頻、測周期誤差的計算公式:</p><p><b>  (2-8)</b></p><p>  上式中R=20㏒,為被測信號與噪聲比,k為多周期倍率。與式(2-2)、(2-4)相比較,式

37、(2-8)中沒有對被測信號計數(shù)引起的±1量化誤差,只有計數(shù)器在同步門T周期的±1計數(shù)誤差/,而且與被測量信號的頻率無關(guān),即在整個測量頻段上是等精度的。這時多周期同步等精度測量法的測頻、測周期的精度在整個測量頻段上均可達到量級。</p><p>  其原理框圖和時序圖如圖2.3所示。</p><p> ?。╝)多周期同步等精度測量法原理框</p><p

38、> ?。╞)多周期同步等精度測量法時序圖</p><p>  圖2.3 (a)多周期同步等精度測量法原理框圖; (b) 多周期同步等精度測量法時序圖</p><p>  2.2 實驗方案的確定</p><p>  2.2.1 測量方法的確定 </p><p>  經(jīng)過2.1節(jié)對頻率測量和周期測量方法的分析,得知直接測量法不可能滿足該

39、任務所要求的測量精度,只要采用多周期同步等精度測量法就可以直接讀出被測信號的周期值或者頻率值,在中界頻率附近能達到較高的測量精度?;谝陨嫌懻?,決定選用多周期同步測量法來實現(xiàn)該數(shù)字頻率計。</p><p>  2.2.2 頻率測量模塊的方法</p><p>  頻率測量模塊我們選擇等精度測頻法,其實現(xiàn)方式可用圖2.4來說明。 </p><p>  圖2.4 等精度測

40、頻原理圖</p><p>  當方波預置門控信號由底變?yōu)楦唠娖綍r,經(jīng)整形后的別測信號上升沿啟動D觸發(fā)器,由D觸發(fā)器的R段同時啟動可控計數(shù)器 CNTI和CNT2同時計數(shù),當預置門為低電平時,隨后而至的被測信號使可控計數(shù)器同時關(guān)閉。設(shè)Fx為整形后的被測信號,F(xiàn)s為基準頻率信號,若在一次預置門高電平脈寬時間內(nèi)被測信號計數(shù)值為NX,基準頻率計數(shù)值NS,則有:Fx=(Fs/NS)NX</p><p>

41、;  2.2.3 周期測量模塊的方法</p><p>  周期測量與頻率測量完全相同,只是在進行計算時公式不同,用周期T代換等精度頻率測量公式中的頻率因數(shù)即可。</p><p>  計算公式為 (2-15)</p><p>  式中,為被測信號周期的測量值,、分別與(1—2)式中的Ns、含義相同。</p><p>  2.2.

42、4 脈沖寬度測量模塊的方法</p><p>  在進行脈沖寬度測量時,首先經(jīng)信號處理電路進行處理,限制只有信號的50%幅度及其以上部分才能輸入數(shù)字測量部分。脈沖邊沿被處理得非常陡峭,然后送入測量計數(shù)器進行測量。</p><p>  測量電路在檢測到脈沖信號的上升沿時打開計數(shù)器,在下降沿是關(guān)閉計數(shù)器,設(shè)脈沖寬度為Twx,計算公式為:Twx=Nx/fs。</p><p>

43、;  2.2.5 周期脈沖信號占空比測量模塊</p><p>  測一個脈沖信號的脈寬,記其值為Twx1;信號反相后,再測一次脈寬并記錄其值Twx2,通過以下公式汁算:</p><p>  占空比T wx1/(Twx1+Twx2)]×100% (2-17)</p><p>  2.3 系

44、統(tǒng)硬件設(shè)計</p><p>  根據(jù)頻率計的設(shè)計要求,我們可將整個電路系統(tǒng)劃分為幾個基本模塊,組成模塊框圖如圖2.5所示。</p><p>  圖2.5 頻率計組成模塊框圖</p><p>  其主要由以下幾個部分構(gòu)成:</p><p>  (1) 信號整形電路。用于對待測信號進行放大和整形,以便作為CPLD的輸入信號。</p>

45、<p>  (2) 測頻電路。是測頻的核心電路模塊,由CPLD器件擔任。</p><p>  (3) 單片機電路模塊。用于控制CPLD的測頻操作和讀取測頻數(shù)據(jù),并作出相應數(shù)據(jù)處理。</p><p>  (4) 50MHz的標準頻率信號源。本模塊采用高頻率穩(wěn)定度和高精度的晶振作為標準頻率發(fā)生器,產(chǎn)生50MHz的標準頻率信號直接進入CPLD。</p><p>

46、;  (5) 鍵盤模塊??梢杂?個鍵執(zhí)行測試控制,一個是復位鍵,其余是命令鍵。</p><p>  (6) 數(shù)碼顯示模塊??梢杂?個數(shù)碼管顯示測試結(jié)果,最高可表示百萬分之一的精度。</p><p>  2.3.1 穩(wěn)壓電源電路</p><p>  本項設(shè)計要求的電源均為±5V的直流穩(wěn)壓電源。7905空載時測量輸出在6V左右.加上負載,輸出正常。7805驅(qū)動

47、電流可達1A,運行時電流200~300mA,7805溫度有50度左右。</p><p>  頻率計穩(wěn)壓電源電路圖如圖2.6所示。</p><p>  圖2.6 頻率計穩(wěn)壓電源電路</p><p>  2.3.2 信號放大整形電路</p><p>  信號放大整形電路包括放大級和整形級兩部分。</p><p>  放大級

48、的設(shè)計主要考慮增益和帶寬的指標。因為后面的整形級采用了電壓比較器,所以放大級的增益應根據(jù)頻率計指標提出的最小輸入信號幅度(0.02V)和電壓比較器所要求的輸入電壓的最小壓擺率來決定。在本通道中,電壓比較器整形級是設(shè)計過零觸發(fā)方式的,因此必須對輸入信號過零處的壓擺率予以審查。,從輸入級到第二放大級其帶寬大于10MHz的指標要求完全可以達到的。</p><p>  因為模擬通道部分所用的器件都是帶寬高速器件,為了防止

49、寄生振蕩,在每個器件的電源引腳附近到地之間均需要加上去耦電容,每組去耦電容由兩種電容并聯(lián)起來,以取得良好的寬頻帶寬去耦效果。其中容量小的(0.1μF)用陶瓷電容,對高頻分量有良好的去耦作用;容量大的(6.8μF)用鉭電解電容,對低頻分量有良好的去耦作用。</p><p>  整形級選用輸出為TTL電平的高速集成雙壓比較器MAX902來構(gòu)成,它比TTL電路有觸發(fā)靈敏度高、因而可降低放大級增益的優(yōu)點;上面已討論過,根

50、據(jù)手冊提示,使用高速電壓比較器時必須保證輸入信號的壓擺率大于手冊上所給的最小容許值,以免在比較器輸出信號的前后沿部位產(chǎn)生振蕩。此外電源引腳附近也需要加接良好的去耦電容,布線短,數(shù)字電源和模擬電源的接地要分開,以免比較器輸出端的數(shù)字信號干擾模擬電路部分的工作。</p><p>  為了防止輸入信號過大而損壞后面的元器件,在輸入端加上由一個470電阻和兩個二極管組成的限幅保護電路。限幅二極管應選用結(jié)電容小,開關(guān)時間短

51、,容許的正向電流大且正向壓降小的管子,2DK17A開關(guān)二極管是符合上述要求的一種。有時被測信號中含有較高的直流分量,為了保證通道放大器正常工作,輸入信號應通過隔直流電容耦合到輸入級的輸入端,為此還要加上交、直流耦合切換開關(guān)S1。</p><p>  圖2.7 信號放大整形電路</p><p>  2.3.3 單片機控制電路</p><p>  對單片機這部分的主要指

52、標考慮如下:①由+5V電源供電,I/O口與TTL電平兼容,并有足夠數(shù)目的I/O口;②要有豐富的四則算術(shù)運算和邏輯運算指令,指令執(zhí)行速度要快;③片內(nèi)除RAM外還要有EPROM;④至少有兩個16位的定時器/計數(shù)器;⑤有外部中斷輸入引腳;⑥具有串行通信口;⑦價格要低廉。</p><p>  根據(jù)以上條件,查閱相關(guān)資料,發(fā)現(xiàn)8位單片機AT89C51的指標已經(jīng)能夠滿足要求。</p><p>  而對

53、于實驗環(huán)境的限制,能選擇的CPLD有限,所以根據(jù)實驗室的情況選用了XILINX公司的XC9572。</p><p>  由于等精度數(shù)字頻率計涉及到的計算包括加、減、乘、除,耗用的資源比較大。因此,我們選擇單片機和CPLD的結(jié)合來實現(xiàn)。單片機控制電路如圖2.8所示,其中單片機完成整個測量電路的測試控制、數(shù)據(jù)處理和顯示輸出;CPLD完成各種測試功能;鍵盤信號由89C51單片機進行處理,它從CPLD讀回計數(shù)器數(shù)據(jù)并進行

54、運算,然后向顯示電路輸出測量結(jié)果。等精度數(shù)字頻率計電路系統(tǒng)原理框圖如圖2.8所示。</p><p>  系統(tǒng)的基本工作方式如下:</p><p>  (1) P2口是單片機與CPLD的數(shù)據(jù)傳送通信口,P0口為雙向控制口。P3口利用鍵盤顯示管理芯片ZLG7289作為數(shù)碼管顯示,實現(xiàn)數(shù)據(jù)顯示。系統(tǒng)的P1口設(shè)置5個功能鍵:占空比、脈寬、周期、頻率、自檢,進行各測試功能的轉(zhuǎn)換。</p>

55、<p>  (2) 7個LED數(shù)碼管組成測量數(shù)據(jù)顯示器,另一個獨立的數(shù)碼管用于狀態(tài)顯示。</p><p>  (3) Fs為測頻標準頻率50MHz信號輸入端,由晶體振蕩源電路提供。</p><p>  (4) Fx為被測信號輸入,此待測信號是經(jīng)放大整形后輸入CPLD的。</p><p>  圖2.8 等精度數(shù)字頻率計電路系統(tǒng)原理框圖</p>

56、;<p>  2.3.4 標準頻率信號源</p><p>  本設(shè)計采用50MHZ的晶體振蕩器產(chǎn)生標準頻率方波信號(頻標)供數(shù)字測量電路使用。由公式(2-8)及其討論可知,多周期同步等精度測量法所達到的測量精度和系統(tǒng)時鐘源的精度量級相近。晶體振蕩器采用恒溫晶振,穩(wěn)定度可以達到為:2.0×107/24小時。</p><p>  2.3.5 數(shù)碼管顯示模塊</p&

57、gt;<p>  從實驗條件等實際出發(fā)考慮,數(shù)碼管顯示模塊采用了ZLG7289。</p><p>  ZLG7289是一片具有串行接口的,可同時驅(qū)動8位共陰式數(shù)碼管的顯示驅(qū)動芯片,同時還可連接多達64鍵的鍵盤矩陣,單片即可完成LED顯示的全部功能。ZLG7289具有的特點和豐富的指令系統(tǒng),使得由其組成的LED顯示和鍵盤電路具有外圍電路簡單,功能強大,使用方便,可靠性高,與MCU接口簡單等特點,是LE

58、D顯示和鍵盤電路的首選器件。</p><p>  ZLG7289與單片機的連接如下圖2.9所示。 </p><p>  圖2.9 ZLG7289與單片機的連接</p><p>  因為本設(shè)計用等精度測頻的方法,預置門時間為1s,在標準頻率信號為50MHz的情況下,可以算出測量精度為電路中采用了8位LED顯示器.其中7個LED數(shù)碼管組成測量數(shù)據(jù)顯示器,另一個獨立的數(shù)碼

59、管用于狀態(tài)顯示。當測頻率時,有顯示指示,為了保證頻率計有足夠的顯示時間,并且在打開門控信號之前,要先清零,以使測量數(shù)字計數(shù)器每次從零開始計數(shù)。</p><p>  第三章 系統(tǒng)軟件設(shè)計</p><p>  設(shè)計包括頻率計的測頻模塊和利用對單片機的編程。單片機的編程又由三部分構(gòu)成:對CPLD的數(shù)據(jù)讀取及控制信號輸出,鍵盤電路的掃描以及數(shù)碼管顯示輸出。</p><p>

60、  3.1 CPLD測頻專用模塊的設(shè)計</p><p>  利用VHDL設(shè)計的測頻模塊主邏輯結(jié)構(gòu)如圖3.1所示,</p><p>  圖3.1 等精度頻率計主邏輯結(jié)構(gòu)圖</p><p>  圖3.1中,預置門控信號CL可由單片機發(fā)出,可以證明,在1秒至0.1秒間選擇的范圍內(nèi),CL的時間寬度對測頻精度幾乎沒有影響,在此設(shè)其寬度為Tpr。BZH和TF模塊是兩個可控的32

61、位高速計數(shù)器,BENA和ENA分別是他們的允許信號端,高電平有效。標準頻率信號從BZH的時鐘輸入端BLCK輸入,設(shè)其頻率為Fs;經(jīng)整形后的被測信號從與BZH相似的32位計數(shù)器TF的時鐘輸入端TCLK輸入,設(shè)其真實頻率值為,被測量頻率為。測頻原理說明如下:</p><p>  測頻開始前,首先發(fā)出一個清零信號CLR,使兩個計數(shù)器和D的觸發(fā)器置零,同時通過信號ENA,禁止兩個計數(shù)器計數(shù)。這是一個初始化的操作。然后由單

62、片機發(fā)出允許測頻命令,即令預置門控信號CL為高電平,這時D觸發(fā)器要一直等到被測信號的上升沿通過時Q端才被置1(即令START為高電平),與此同時,將同時啟動計數(shù)器BZH和TF,進入計數(shù)允許周期。在此期間,BZH和TF分別對被測信號(頻率為)和標準頻率信號(頻率為Fs)同時計數(shù)。當Tpr秒后,預置門信號被單片機置為低電平,但此時</p><p>  兩個計數(shù)器并沒有停止計數(shù),一直等到被測信號的上升沿到來時,才通過D

63、觸發(fā)器將這兩個計數(shù)器同時關(guān)閉。 </p><p>  被測頻率值為,標準頻率值為Fs,設(shè)在一次預置門時間Tpr中對被測信號計數(shù)值為Nx,對標準頻率信號的計數(shù)值為Ns,則下式成立:</p><p><b>  (3-1)</b></p><p>  不難得到測得的頻率為: FX=FS×NX/NS

64、 (3-2)</p><p>  最后通過控制SEL選擇信號和64位至8位的多路選擇器MUX,將計數(shù)器BHZ和TF中的兩個32位數(shù)據(jù)按照SEL[2..0]的編碼次序,分8次依次讀入單片機,并按照各個模塊的計算公式進行計算和顯示。</p><p>  3.1.1 頻率計CPLD部分的VHDL程序</p><p>  根據(jù)圖3.1的主邏輯結(jié)構(gòu)圖和圖3.2的測控

65、時序,以及測頻原理,可以寫出相應的VHDL功能描述。相應的RTL電路圖如圖3.3。</p><p>  頻率計CPLD部分的VHDL程序設(shè)計如下:</p><p>  LIBRARY IEEE; --等精度頻率計CPLD設(shè)計部分</p><p>  USE IEEE.STD_LOGIC_1164.ALL;

66、 </p><p>  USE IEEE.STD_LOGIC_UNSIGNED.ALL; </p><p>  ENTITY etester IS </p><p>  PORT(BCLK:IN STD_LOGIC; --標準頻率時鐘信號clock2,50MHZ</p><p>  TCLK:IN STD_L

67、OGIC; --待測頻率時鐘信號</p><p>  CLR:IN STD_LOGIC; --清零和初始化信號</p><p>  CL:IN STD_LOGIC; --當SPUL為高電平時,CL為預置門控信號,用于測頻計數(shù)</p>

68、;<p>  --時間控制當SPUL為低電平時,CL為測脈寬控制信號 </p><p>  --CL高電平時測高電平脈寬而當CL為低電平時,測低電平脈寬</p><p>  SPUL:IN STD_LOGIC; --測頻或測脈寬控制</p><p>  STAR

69、T:OUT STD_LOGIC; --起始計數(shù)標志信號</p><p>  EEND:OUT STD_LOGIC; --由低電平變到高電平時指示脈寬計數(shù)結(jié)束</p><p>  SEL:IN STD_LOGIC_VECTOR(2 DOWNTO 0); --數(shù)據(jù)讀出選

70、同控制</p><p>  DATA:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); --8位數(shù)據(jù)讀出</p><p>  END etester; </p><p>  ARCHITECTURE behav OF etester IS </p><p>  SIGNAL BZQ :

71、 STD_LOGIC_VECTOR(31 DOWNTO 0); --標準計數(shù)器</p><p>  SIGNAL TSQ : STD_LOGIC_VECTOR(31 DOWNTO 0); --測頻計數(shù)器</p><p>  SIGNAL ENA : STD_LOGIC;

72、 --計數(shù)使能</p><p>  SIGNAL MA, CLK1, CLK2, CLK3: STD_LOGIC; </p><p>  SIGNAL Q1, Q2, Q3, BENA, PUL: STD_LOGIC;</p><p>  SIGNAL SS: STD_LOGIC_VECTOR (1 DOWNTO 0); </p>&

73、lt;p><b>  BEGIN </b></p><p>  START <= ENA; </p><p>  DATA <= BZQ(7 DOWNTO 0) WHEN SEL="000" ELSE --標準頻率計數(shù)低8位輸出</p><p>  BZQ (15 DOWNTO 8) WHEN SE

74、L="001" ELSE </p><p>  BZQ (23 DOWNTO 16) WHEN SEL="010" ELSE </p><p>  BZQ(31 DOWNTO 24) WHEN SEL="011" ELSE --標準頻率計數(shù)值最高8位輸出</p><p>  TSQ(7 DOWN

75、TO 0) WHEN SEL="100" ELSE --待測頻率計數(shù)值最低8位輸出</p><p>  SQ (15 DOWNTO 8) WHEN SEL="101" ELSE </p><p>  TSQ (23 DOWNTO 16) WHEN SEL="110" ELSE </p><p&g

76、t;  TSQ(31 DOWNTO 24) WHEN SEL="111" ELSE --待測頻率計數(shù)值最高8位輸出</p><p>  TSQ (31 DOWNTO 24); </p><p>  BZH : PROCESS(BCLK, CLR) --標準頻率測試計數(shù)器,標準計數(shù)器</p><p><

77、;b>  BEGIN </b></p><p>  IF CLR = '1' THEN BZQ <= (OTHERS=>'0');</p><p>  ELSIF BCLK'EVENT AND BCLK = '1' THEN</p><p>  IF BENA = '1&#

78、39; THEN BZQ <= BZQ + 1; END IF;</p><p><b>  END IF; </b></p><p>  END PROCESS; </p><p>  TF : PROCESS(TCLK, CLR, ENA) --待測頻率計數(shù)器,測頻計數(shù)器</p><p&g

79、t;<b>  BEGIN </b></p><p>  IF CLR = '1' THEN TSQ <= (OTHERS=>‘0’); </p><p>  ELSIF TCLK'EVENT AND TCLK = '1' THEN </p><p>  IF ENA = '1'

80、; THEN TSQ <= TSQ + 1; END IF; </p><p><b>  END IF; </b></p><p>  END PROCESS; </p><p>  PROCESS (TCLK, CLR) </p><p><b>  BEGIN </b></p>

81、;<p>  IF CLR = '1' THEN ENA <= ‘0’; </p><p>  ELSIF TCLK'EVENT AND TCLK='1' THEN ENA <= CL; END IF; </p><p>  END PROCESS; </p><p>  MA<=(TCLK A

82、ND CL) OR NOT(TCLK OR CL) ; --測脈寬邏輯</p><p>  CLK1<=NOT MA ; CLK2<=MA AND Q1 ; CLK3<=NOT CLK2; SS<=Q2 & Q3;</p><p>  DD1: PROCESS (CLK1, CLR) </p><p>

83、;<b>  BEGIN</b></p><p>  IF CLR = '1' THEN Q1 <= ‘0’;</p><p>  ELSIF CLK1'EVENT AND CLK1 = '1' THEN Q1 <= ‘1’; </p><p><b>  END IF; </

84、b></p><p>  END PROCESS; </p><p>  DD2: PROCESS (CLK2, CLR) </p><p><b>  BEGIN </b></p><p>  IF CLR = '1' THEN Q2 <= ‘0’; </p><p&g

85、t;  ELSIF CLK2'EVENT AND CLK2 = '1' THEN Q2 <= ‘1’; END IF; </p><p>  END PROCESS; </p><p>  DD3: PROCESS (CLK3, CLR) </p><p><b>  BEGIN </b></p>&

86、lt;p>  IF CLR = '1' THEN Q3 <= ‘0’; </p><p>  ELSIF CLK3'EVENT AND CLK3 = '1' THEN Q3 <= ‘1’; END IF; </p><p>  END PROCESS; </p><p>  PUL<='1

87、9; WHEN SS="10" ELSE</p><p>  --當SS=“10”時,PUL高電平,允許標準計數(shù)器計數(shù)</p><p>  '0' ; --禁止計數(shù)</p><p>  EEND<='1&#

88、39; WHEN SS="11" ELSE</p><p>  --EEND為低電平時,表示正在計數(shù),由低電平變到高電平</p><p>  '0'; --時,表示計數(shù)結(jié)束,可以從標準計數(shù)器中讀數(shù)據(jù)了</p><p>  BENA<=ENA WHEN SPUL='1' E

89、LSE </p><p>  --標準計數(shù)器時鐘使能控制信號,當SPUL為1時,測頻率</p><p>  PUL WHEN SPUL='0' ELSE --當SPUL為0時,測脈寬和占空比</p><p><b>  PUL; </b></p><p>  END b

90、ehav;</p><p>  利用VHDL設(shè)計的測頻模塊其中有關(guān)的接口信號規(guī)定如下:</p><p>  1、BCLK:標準頻率輸入信號,50MHz。 </p><p>  2、TCLK:待測頻率輸入信號,0.1Hz–10MHz。最高可以為50MHZ。 </p><p>  3、CLR:全局清0和初始化輸入,高電平有效,由單片機向CPLD發(fā)

91、出。 </p><p>  4、CL:預置門控制輸入,時間為1s,高電平有效,由單片機向CPLD發(fā)出。 </p><p>  5、SPUL:高電平測頻率,低電平測脈寬,由單片機向CPLD發(fā)出。 </p><p>  6、START:此信號由單片機讀取。在測頻時,高電平時表示進入計數(shù)周期,低電平時表示計數(shù)結(jié)束,單片計可以讀取CPLD中的計數(shù)。 </p>

92、<p>  7、EEND:在測脈寬期間(SPUL=‘0’),由低電平變?yōu)楦唠娖?,表示脈寬計數(shù)結(jié)束,EEND信號由單片機讀取。 </p><p>  8、DATA:計數(shù)數(shù)據(jù)輸出,8位,由單片機根據(jù)SEL選擇信號分別讀取。 </p><p>  9、SEL:計數(shù)數(shù)據(jù)讀出控制,3位,由單片機輸出控制。 </p><p>  當SEL分別等于:“000”、“001

93、”、“010”、“011”;可由DATA分別讀出:BZQ[7..0]、[15..8]、[23..16]、[31..24]共32位。 </p><p>  當SEL分別等于:“100”、“101”、“110”、“111”;可由DATA分別讀出:TSQ[7..0]、[15..8]、[23..16]、[31..24]共32位。</p><p>  3.1.2 頻率計CPLD部分的仿真</p

94、><p>  圖3.4和圖3.5分別是頻率測試仿真波形和脈寬測試仿真波形。</p><p>  從圖3.4可以看出,SPUL='1'時,系統(tǒng)進行等精度測頻。這時,CLR一個正脈沖后,系統(tǒng)被初始化。然后CL被置為高電平,但這時兩個計數(shù)器并未開始計數(shù)(START='0'),直到此后被測信號TCLK出現(xiàn)一個上升沿,START=‘1’時2個計數(shù)器同時啟動分別對被測信號和

95、標準信號開始計數(shù),其中BZQ和TSQ分別為標準頻率計數(shù)器和被測頻率計數(shù)器的計數(shù)值。由圖可見,在CL變?yōu)榈碗娖胶?,計?shù)仍未停止,直到TCLK出現(xiàn)一個上升沿為止,這時START='0',可作為單片機了解計數(shù)結(jié)束的標志信號。</p><p>  仿真波形中TCLK和BCLK的周期分別設(shè)置為10μs和500ns。由圖可見,計數(shù)結(jié)果是,對TCLK的計數(shù)值是5,對BCLK的計數(shù)值是64(十六進制)。通過控制S

96、EL就能按照8個8位將兩個計數(shù)器中的32位數(shù)讀入單片機中進行計算。從圖中的波形可以看出,VHDL部分描述的測頻的功能完全正確。</p><p>  圖3.4 頻率/周期測量仿真圖</p><p>  圖3.5 脈寬/占空比測量仿真圖</p><p>  圖3.5中,取SPUL='0'時,則系統(tǒng)進行脈寬測試。為了便于觀察,圖中仿真波形中的TCLK和BC

97、LK的周期分別設(shè)置為75μs和500ns。由VHDL程序和RTL電路圖可以分析,CL和CLR的功能都發(fā)生了變化,前者為'1'時測信號高電平的脈寬,為'0'時測低電平的脈寬;而后者CLR變?yōu)椤?’時作系統(tǒng)初始化,由‘1’變?yōu)椤?’后啟動電路系統(tǒng)的標準信號計數(shù)器BZQ準備對標準頻率進行計數(shù)。而允許計數(shù)的條件是此后出現(xiàn)的第一個脈寬的寬度。由圖3.5可見,當CL=‘1’,TCLK的高電平脈沖到來時,即啟動了BZQ

98、進行計數(shù),而在TCLK的低電平到來時停止計數(shù),狀態(tài)信號EEND則由低電平變?yōu)楦唠娖?,告訴單片機計數(shù)結(jié)束。計數(shù)值可以通過SEL讀出,這里是4BH。</p><p>  由此不難算出,TCLK的高電平脈寬應該等于4BH乘以BCLK的周期。改變CL為‘0’,又能測出TCLK的低電平脈寬,從而可以獲得TCLK的周期和占空比。</p><p>  3.2 單片機控制與運算程序的設(shè)計</p>

99、;<p>  完成VHDL硬件語言編程,系統(tǒng)內(nèi)CPLD芯片中的邏輯資源尚缺一點即可大功告成,系統(tǒng)需要單片機為它實現(xiàn)控制,運算,顯示等功能。所以,采用89C51單片機控制模塊對各種信號進行處理并顯示。</p><p>  與單片機可以按照如下方式接口:</p><p>  (1) 單片機的P0口接八位數(shù)據(jù)DATA[7..0],負責讀取測頻數(shù)據(jù)。</p><p

100、>  (2) 單片機可以通過信號START(P2.7),指示計數(shù)是否結(jié)束,以確定何時可以讀取數(shù)據(jù)。</p><p>  (3) P2.0、P2.1、P2.2與SEL[2..0]相接,用于控制多路通道的數(shù)據(jù)選擇。當SEL分別為“000”、“001”、“010”、“011”時,由低八位到高八位讀出標準頻率計數(shù)值;當SEL分別為“100”、“101”、“110”、“111”;由低八位到高八位讀出待測頻率計數(shù)值。&

101、lt;/p><p>  (4) EEND(P2.3)與START功能基本相同,當其由低電平變到高電平使指示脈沖寬度計數(shù)結(jié)束。</p><p>  (5) P2.5和P2.6分別接控制信號CL和SPUL。CL和SPUL協(xié)同控制測試操作。即當為‘1’時,CL作為測脈寬控制信號。這時,CL若為‘1’,測TCLK的高電平脈寬,而當CL為‘0’,則測TCLK的低電平脈寬。然后分別從DATA數(shù)據(jù)口讀出BZ

102、H對標準頻率的計數(shù),即只需令SEL的取值分別為 “000”、 “001”、 “010”、 “011” 即可。</p><p>  (6) P0.0接清零信號CLR,高電平有效。每一測頻周期開始時,都應該首先清零。</p><p>  3.2.1 單片機主程序的設(shè)計</p><p>  當系統(tǒng)通過5V電源供電時,自動進入自檢狀態(tài),由單片機發(fā)出按鍵掃描信號對各個按鍵進行

103、掃描。系統(tǒng)進行初始化后,此時當某鍵按下時,程序跳轉(zhuǎn)到相應的子程序執(zhí)行功能,然后返回繼續(xù)執(zhí)行鍵盤掃描主程序。單片機控制主程序流程圖如圖3.6。</p><p>  圖3.6 單片機主程序流程圖</p><p>  3.2.2 頻率、周期計數(shù)子程序的設(shè)計</p><p>  如果按下測頻鍵,則開始測量過程:首先,由單片機的P2.4發(fā)出一個清零信號P2.4=1,把計數(shù)使能

104、信號START的預置門控信號CL置成0。然后P2.5(CL控制端)給出一個高電平的脈沖,長度控制在0.1秒至1秒之間。在此過程中,CPLD部分已經(jīng)完成測頻的任務。P2.5(CL控制端)下跳成0后,要有一個延遲時間單片機再開始讀取CPLD信息,這個延遲由單片機控制,長度要大于等于待測量信號的一個周期。然后就是讀取待測頻率的過程了,P0口負責讀取數(shù)據(jù)。 P2.0、P2.1、P2.2與SEL[2..0]相接,用于控制多路通道的數(shù)據(jù)選擇。當SE

105、L分別為“000”、“001”、“010”、“011”時,由低八位到高八位讀出標準頻率計數(shù)值;當SEL分別為“100”、“101”、“110”、“111”;由低八位到高八位讀出待測頻率計數(shù)值。然后單片機計算(Fs/Ns)·Nx值,通過ZLG7289傳給LED顯示。</p><p>  在測量周期時只要將計算結(jié)果由頻率值取倒數(shù)轉(zhuǎn)換為周期值即可。</p><p>  圖3.7 頻率、

106、周期計數(shù)子程序流程圖</p><p>  圖3. 8 測周期子程序流程圖</p><p>  圖 3.9 測頻率子程序流程圖</p><p>  3.2.3 脈寬、占空比子程序的設(shè)計</p><p>  脈寬測量子程序與周期測量子程序基本一致,脈寬測量是將被測量信號的脈寬作為閘門信號對標準頻率計數(shù)。</p><p> 

107、 占空比子程序是分別測量出高低電平的脈寬計數(shù)值N1,N2,由公式</p><p>  占空比=N1/(N1+N2)*100%算出。</p><p>  圖3.10 脈寬、占空比計數(shù)子程序流程圖</p><p>  圖3.11 測脈寬子程序流程圖</p><p>  3.2.4 鍵盤掃描及數(shù)碼管顯示子程序的設(shè)計</p><p

108、>  鍵盤采用的是循環(huán)掃描的工作方式,當有鍵輸入時,將讀到的鍵值存入預存單元,用軟件延時10ms消除抖動,再讀鍵值,和原鍵值進行比較,若不相同,則繼續(xù)進入掃描,如相同,則跳轉(zhuǎn)到相應的入口執(zhí)行子程序。</p><p>  單片機讀入測量結(jié)果后,還要根據(jù)等精度測頻原理進行計算,才能得到最終的測量結(jié)果。</p><p>  圖3.12為鍵盤掃描子程序的流程圖。</p><

109、;p>  數(shù)碼管顯示部分采用的是ZLG7289。ZLG7289是一片具有串行接口的,可同時驅(qū)動8位共陰式數(shù)碼管的顯示驅(qū)動芯片,單片即可完成LED顯示。</p><p>  顯示子程序的流程圖如圖3.13所示。</p><p>  圖3.12 掃描子程序流程圖</p><p>  圖3.13 顯示子程序流程圖</p><p><b

110、>  總結(jié)</b></p><p>  1. 在系統(tǒng)總體設(shè)計方面,充分利用單片機和CPLD各自的優(yōu)勢,將測控的主體分配給CPLD,既可滿足頻測對速度方面的要求和多I/O口的要求,同時利用單片機具有良好的人機接口和控制運算的功能,可以較簡單地實現(xiàn)鍵盤和顯示控制以及數(shù)據(jù)處理運算。</p><p>  2. 在頻率測量方面,由于采用了等精度測頻法,使該系統(tǒng)具有以下特點:① 相對

111、測量誤差與被測頻率的高低無關(guān);② 增大或可以增大Ns,減少測量誤差,提高測量精度;③ 測量精度與預置門寬度和標準頻率有關(guān),與被測信號的頻率無關(guān),預置門和常規(guī)測頻閘門時間相同而被測信號頻率不同的情況下,等精度測量法的測量精度不變。</p><p>  3. 在顯示方面,采用串行接口8 位LED 數(shù)碼管及64鍵鍵盤智能控制芯片ZLG7289,節(jié)約了I/O口,簡化了驅(qū)動電路的設(shè)計。</p><p&g

112、t;<b>  致謝</b></p><p>  三個月的時間很快過去了,在這里首先要感謝院、系領(lǐng)導給予的大力支持,為我們提供了良好的設(shè)計條件,特別要感謝指導老師——xx老師給予的悉心指導和不厭其煩的熱情幫助。在此謹向?qū)煴硎咀钪孕牡母兄x、并致以崇高的敬意。同時要感謝參加論文評審和答辯委員會的各位老師,感謝他們對我的論文提出了寶貴的建議。</p><p>  在過去的

113、這段時間中,我得到了來自各方面的熱情幫助,在此向在我學業(yè)生涯中所有幫助過我的朋友表示深深的謝意.</p><p><b>  致謝人:楊林娜</b></p><p><b>  參考文獻</b></p><p>  [1] 張杰,楊艷麗,馬莉莉等. 基于單片機C語言的數(shù)字頻率計設(shè)計[J]. 內(nèi)蒙古農(nóng)業(yè)大學學報,2007,2

114、8(2):191~192.</p><p>  [2] 鄒道生,李銘,楊漢祥. 多功能數(shù)字頻率計的設(shè)計[J]. 贛南師范學院學報,2004,3:16~18. </p><p>  [3] 李春樹. 基于AT89C51單片機的等精度數(shù)顯頻率計[J]. 零陵學院學報, 2003,24(2):50~54..</p><p>  [4] 王保強,竇文,白紅. 高精度測頻方

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論