2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩15頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p><b>  目錄</b></p><p>  1、設(shè)計(jì)題目:多功能數(shù)字時(shí)鐘的設(shè)計(jì)2</p><p><b>  2、設(shè)計(jì)任務(wù)2</b></p><p><b>  3、設(shè)計(jì)方案2</b></p><p><b>  3.1設(shè)計(jì)內(nèi)容2</

2、b></p><p><b>  3.2方案設(shè)計(jì)2</b></p><p>  2.2.1軟件設(shè)計(jì)方案2</p><p>  2.2.2硬件設(shè)計(jì)方案2</p><p>  4、設(shè)計(jì)的具體實(shí)現(xiàn)3</p><p><b>  4.1設(shè)計(jì)步驟3</b></p&

3、gt;<p>  5、設(shè)計(jì)的實(shí)驗(yàn)驗(yàn)證結(jié)果7</p><p>  5.1在硬件電路板上,七段數(shù)碼管顯示的結(jié)果7</p><p>  5.1.1二十四進(jìn)制的數(shù)字時(shí)鐘顯示7</p><p>  5.1.2十二進(jìn)制的數(shù)字時(shí)鐘顯示8</p><p>  5.2用軟件的方法仿真驗(yàn)證實(shí)驗(yàn)結(jié)果9</p><p>

4、;  5.2.1用生成頂層原理圖輸入法的結(jié)果和分析9</p><p>  5.2.2用軟件仿真波形的仿真結(jié)果和分析10</p><p>  6、設(shè)計(jì)的實(shí)驗(yàn)結(jié)論11</p><p>  6.1設(shè)計(jì)小結(jié)11</p><p>  6.2設(shè)計(jì)改進(jìn)11</p><p>  7、附錄:VHDL語(yǔ)言編寫(xiě)的源程序12<

5、;/p><p><b>  EDA課程設(shè)計(jì)</b></p><p>  1、設(shè)計(jì)題目:多功能數(shù)字時(shí)鐘的設(shè)計(jì)</p><p><b>  2、設(shè)計(jì)任務(wù)</b></p><p>  了解數(shù)字鐘的工作原理,進(jìn)一步熟悉VHDL語(yǔ)言編寫(xiě)驅(qū)動(dòng)七段數(shù)碼管顯示代碼,并且掌握用多進(jìn)程的方式實(shí)現(xiàn)一個(gè)綜合性的程序。最終實(shí)現(xiàn)硬

6、件和軟件多功能數(shù)字鐘的設(shè)計(jì)。</p><p>  2.1設(shè)計(jì)任務(wù)實(shí)現(xiàn)的是顯示24小時(shí)制</p><p> ?。?)顯示的格式為小時(shí)-分鐘-秒鐘,是24小時(shí)制,整點(diǎn)報(bào)時(shí)時(shí)間為5秒,級(jí)從整點(diǎn)前5秒鐘開(kāi)始進(jìn)行報(bào)時(shí)提示,LED燈開(kāi)始閃爍,過(guò)整點(diǎn)后,停止閃爍,在這5秒閃爍時(shí)間的花樣可以任自己隨意改變。</p><p> ?。?)系統(tǒng)時(shí)鐘選擇時(shí)鐘模塊的10KHz,要得到1Hz時(shí)

7、鐘信號(hào),必須對(duì)系統(tǒng)時(shí)鐘進(jìn)行10000次分頻。</p><p> ?。?)調(diào)整時(shí)間的按鍵用按鍵模塊的S1和S2,S1調(diào)節(jié)小時(shí),每按下一次,小時(shí)增加一個(gè)小時(shí),S2調(diào)節(jié)分鐘,每按下一次,分鐘增加一分鐘。另外用S8案件作為系統(tǒng)時(shí)鐘復(fù)位,復(fù)位后全部顯示00-00-00。</p><p>  2.2設(shè)計(jì)任務(wù)實(shí)現(xiàn)的是顯示12小時(shí)制</p><p> ?。?)在以上實(shí)現(xiàn)的情況下,修

8、改設(shè)計(jì)方案,實(shí)現(xiàn)顯示格式為小時(shí)︰分鐘︰秒鐘,是12小時(shí)制,整點(diǎn)報(bào)時(shí)時(shí)間為8秒,級(jí)從整點(diǎn)前8秒鐘開(kāi)始進(jìn)行報(bào)時(shí)提示,LED燈開(kāi)始閃爍,過(guò)整點(diǎn)后,停止閃爍,在這8秒閃爍時(shí)間的花樣可以任自己隨意改變。</p><p> ?。?)系統(tǒng)時(shí)鐘選擇時(shí)鐘模塊的100KHz,要得到1Hz時(shí)鐘信號(hào),必須對(duì)系統(tǒng)時(shí)鐘進(jìn)行100000次分頻。</p><p> ?。?)調(diào)整時(shí)間的按鍵用按鍵模塊的S1和S2,S1調(diào)節(jié)小

9、時(shí),每按下一次,小時(shí)增加一個(gè)小時(shí),S2調(diào)節(jié)分鐘,每按下一次,分鐘增加一分鐘。另外用S8案件作為系統(tǒng)時(shí)鐘復(fù)位,復(fù)位后全部顯示00︰00︰00。</p><p><b>  3、設(shè)計(jì)方案</b></p><p><b>  3.1設(shè)計(jì)內(nèi)容</b></p><p>  1、計(jì)時(shí)功能:包括小時(shí)、分鐘、秒鐘;</p>

10、<p>  2、整點(diǎn)提示功能:能在設(shè)定的時(shí)間內(nèi)LED燈閃爍,進(jìn)行整點(diǎn)提示;</p><p>  3、按鍵模塊功能:能夠控制小時(shí)和分鐘的增加,還有對(duì)時(shí)間的復(fù)位。</p><p><b>  3.2方案設(shè)計(jì)</b></p><p>  2.2.1軟件設(shè)計(jì)方案</p><p>  用VHDL語(yǔ)言編寫(xiě)程序?qū)崿F(xiàn)設(shè)計(jì)內(nèi)容中

11、需要實(shí)現(xiàn)的功能。將編寫(xiě)好的VHDL的程序加載到硬件電路中,從而驅(qū)動(dòng)七段數(shù)碼管顯示二十四制時(shí)間和十二制時(shí)間,并用按鍵控制時(shí)間的變化,用軟件仿真驗(yàn)證實(shí)驗(yàn)結(jié)果。</p><p>  2.2.2硬件設(shè)計(jì)方案</p><p>  在軟件方案實(shí)現(xiàn)的基礎(chǔ)上,將已經(jīng)運(yùn)行成功的程序,將結(jié)果燒制到硬件電路中,最后生成頂層電路原理圖。</p><p><b>  4、設(shè)計(jì)的具體

12、實(shí)現(xiàn)</b></p><p><b>  4.1設(shè)計(jì)步驟</b></p><p>  4.1.1打開(kāi)QUARTUSII軟件,新建一個(gè)工程</p><p>  4.1.2建完工程之后,再新建一個(gè)VHDL File,打開(kāi)VHDL編輯器對(duì)話框;</p><p>  4.1.3按照自己設(shè)計(jì)方案,在VHDL編輯窗口編寫(xiě)

13、VHDL程序;(程序見(jiàn)附錄)</p><p>  4.1.4編寫(xiě)完VHDL程序后,保存在自己新建的文件夾在D盤的目錄下;</p><p>  4.1.5對(duì)自己編寫(xiě)的VHDL程序進(jìn)行編譯仿真,對(duì)程序的錯(cuò)誤進(jìn)行修改,直到完全通過(guò)編譯和仿真;</p><p>  4.1.6仿真無(wú)誤后,依照按鍵開(kāi)關(guān)、數(shù)碼管、LED燈余FPGA的管腳連接表盡心管腳分配。分配完成后,在進(jìn)行編譯

14、一次,以使管腳分配生效;</p><p>  表3.1 端口管腳分配表</p><p>  圖3.1在運(yùn)行完程序以后對(duì)管腳分配圖</p><p>  4.1.7用下載電纜通過(guò)JTAG口將對(duì)應(yīng)的sof文件加載到FPGA中,觀察設(shè)計(jì)結(jié)果是否和自己的編程思想一致。</p><p>  圖3.2將軟件運(yùn)行的結(jié)果加載到FPGA中的圖</p>

15、;<p>  4.1.8修改源程序,將二十四小時(shí)制的改為十二小時(shí)制的程序</p><p> ?。?)將時(shí)鐘10KHZ改為100KHZ的程序段</p><p>  process(Clk) --實(shí)現(xiàn)時(shí)鐘100KHZ</p><p><b>  begin</b></p><p>  if(Clk'

16、;event and Clk='1')then</p><p>  if(Clk_Count1<100000)then</p><p>  Clk_Count1<=Clk_Count1+1;</p><p><b>  else</b></p><p>  Clk_Count1<=&qu

17、ot;00000000000000001";</p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  end process;</p><p>  Clk1HZ<=Clk_Count1(16);</p>

18、<p> ?。?)將二十四小時(shí)制改為十二小時(shí)制程序段</p><p>  process(Clk1HZ,Rst)</p><p><b>  begin</b></p><p>  if(Rst='0')then</p><p><b>  SEC1<=0;</b>

19、</p><p><b>  SEC10<=0;</b></p><p><b>  MIN1<=0;</b></p><p><b>  MIN10<=0;</b></p><p><b>  HOUR1<=0;</b></

20、p><p>  HOUR10<=0;</p><p>  elsif(Clk1Hz'event and CLK1Hz='1')then --正常運(yùn)行</p><p>  if(S1='0')then</p><p>  if(HOUR1=9)then</p><p><

21、;b>  HOUR1<=0;</b></p><p>  HOUR10<=HOUR10+1;</p><p>  elsif(HOUR10=1 and HOUR1=1)then --十二制的時(shí)間顯示</p><p><b>  HOUR1<=0;</b></p><p>  HOU

22、R10<=0;</p><p><b>  else</b></p><p>  HOUR1<=HOUR1+1;</p><p><b>  end if;</b></p><p>  elsif(S2='0')then</p><p>  if(

23、MIN1=9)then</p><p><b>  MIN1<=0;</b></p><p>  if(MIN10=5)then</p><p><b>  MIN10<=0;</b></p><p><b>  else</b></p><p&

24、gt;  MIN10<=MIN10+1;</p><p><b>  end if;</b></p><p><b>  else</b></p><p>  MIN1<=MIN1+1;</p><p><b>  end if;</b></p>&l

25、t;p>  elsif(SEC1=9)then</p><p><b>  SEC1<=0;</b></p><p>  if(SEC10=5)then</p><p><b>  SEC10<=0;</b></p><p>  if(MIN1=9)then</p>

26、<p><b>  MIN1<0;</b></p><p>  if(MIN10=5)then</p><p><b>  MIN10<=0;</b></p><p>  if(HOUR1=9)then</p><p><b>  HOUR1<=0;</b

27、></p><p>  HOUR10<=HOUR10+1;</p><p>  elsif(HOUR10=1 and HOUR1=1)then</p><p><b>  HOUR1<=0;</b></p><p>  HOUR10<=0; </p><p><b&g

28、t;  else</b></p><p>  HOUR1<=HOUR1+1; </p><p><b>  end if;</b></p><p><b>  else</b></p><p>  MIN10<=MIN10+1;</p><p>&l

29、t;b>  end if;</b></p><p><b>  else</b></p><p>  MIN1<=MIN1+1;</p><p><b>  end if;</b></p><p> ?。?)在59分55秒開(kāi)始用LED燈開(kāi)始閃爍改為在59分52秒開(kāi)始用LED燈

30、開(kāi)始閃爍</p><p>  process(Clk)</p><p><b>  begin</b></p><p>  if(Clk1hz'event and Clk1hz='1')then</p><p>  if(MIN10=5 and MIN1=9 and SEC10=5 and sec

31、1>2)then --在59分52秒開(kāi)始</p><p>  led_Count<=led_Count+1;</p><p><b>  else</b></p><p>  led_count<='000';</p><p><b>  end if;</b>&

32、lt;/p><p><b>  end if;</b></p><p>  end process;</p><p> ?。?)LED燈閃爍花樣的改變的程序段</p><p>  process(led_count) -- LED報(bào)時(shí)改變閃爍的花樣</p><p><b>  begi

33、n</b></p><p>  case(led_count)is</p><p>  when "000"=>led_display<="0000";</p><p>  when "001"=>led_display<="1000";</p&

34、gt;<p>  when "010"=>led_display<="1100";</p><p>  when "011"=>led_display<="1100";</p><p>  when "100"=>led_display<=&

35、quot;1111";</p><p>  when "101"=>led_display<="1111";</p><p>  when others=>led_display<="0000";</p><p><b>  end case;</b>

36、</p><p>  led<=led_display;</p><p>  end process;</p><p> ?。?)將顯示的00-00-00改為00:00:00的程序段</p><p>  process(Disp_Temp)</p><p><b>  begin</b>&l

37、t;/p><p>  case Disp_Temp is</p><p>  when 0=>Disp_decode<="0111111";</p><p>  when 1=>Disp_decode<="0000110";</p><p>  when 2=>Disp_dec

38、ode<="1011011";</p><p>  when 3=>Disp_decode<="1001111";</p><p>  when 4=>Disp_decode<="1100110";</p><p>  when 5=>Disp_decode<=&q

39、uot;1101101";</p><p>  when 6=>Disp_decode<="1111101";</p><p>  when 7=>Disp_decode<="0000111";</p><p>  when 8=>Disp_decode<="111111

40、1";</p><p>  when 9=>Disp_decode<="1101111";</p><p>  when 10=>Disp_decode<="00000110";</p><p>  when others=>Disp_decode<="0000000&q

41、uot;;</p><p><b>  end case;</b></p><p>  5、設(shè)計(jì)的實(shí)驗(yàn)驗(yàn)證結(jié)果</p><p>  5.1在硬件電路板上,七段數(shù)碼管顯示的結(jié)果</p><p>  5.1.1二十四進(jìn)制的數(shù)字時(shí)鐘顯示</p><p>  在附錄中的數(shù)字時(shí)鐘的源程序,當(dāng)設(shè)計(jì)文件加載到目

42、標(biāo)器件后,將數(shù)字信號(hào)源模塊的時(shí)鐘選為10KHZ,數(shù)碼換開(kāi)始顯示時(shí)間,從00︰00︰00開(kāi)始。整點(diǎn)正在簽5秒的時(shí)候。LED燈的模塊LED1-LED5開(kāi)始閃爍,一旦超過(guò)整點(diǎn),LED停止顯示。安東按鍵開(kāi)關(guān)的S1、S2小時(shí)和分鐘開(kāi)始步進(jìn),步進(jìn)開(kāi)始調(diào)整。按下按鍵開(kāi)關(guān)S8,顯示恢復(fù)到00-00-00從新開(kāi)始顯示時(shí)間。</p><p>  5.1.2十二進(jìn)制的數(shù)字時(shí)鐘顯示</p><p>  在附錄中的

43、數(shù)字時(shí)鐘修改后的源程序,當(dāng)設(shè)計(jì)文件加載到目標(biāo)器件后,將數(shù)字信號(hào)源模塊的時(shí)鐘選為100KHZ,數(shù)碼換開(kāi)始顯示時(shí)間,從00︰00︰00開(kāi)始。整點(diǎn)正在簽8秒的時(shí)候。LED燈的模塊LED1-LED5開(kāi)始閃爍,一旦超過(guò)整點(diǎn),LED停止顯示。安東按鍵開(kāi)關(guān)的S1、S2小時(shí)和分鐘開(kāi)始步進(jìn),步進(jìn)開(kāi)始調(diào)整。按下按鍵開(kāi)關(guān)S8,顯示恢復(fù)到00︰00︰00從新開(kāi)始顯示時(shí)間。</p><p>  5.2用軟件的方法仿真驗(yàn)證實(shí)驗(yàn)結(jié)果</

44、p><p>  5.2.1用生成頂層原理圖輸入法的結(jié)果和分析</p><p>  在編譯完程序以后,可以生成頂層原理圖如上圖所示,由于輸出端口是總線的形式,所以要將自己編譯程序?qū)⒖偩€輸出在單一線路上。</p><p>  5.2.2用軟件仿真波形的仿真結(jié)果和分析</p><p>  在100KHZ的情況下,將RST、S1、S2設(shè)置在高電平和低電平

45、時(shí),仿真結(jié)果沒(méi)有發(fā)生變化,因?yàn)闀r(shí)鐘周期很長(zhǎng),所以無(wú)變化。</p><p><b>  6、設(shè)計(jì)的實(shí)驗(yàn)結(jié)論</b></p><p><b>  6.1設(shè)計(jì)小結(jié)</b></p><p>  通過(guò)本次課程設(shè)計(jì)對(duì)EDA這門課程又有了更深入的了解和學(xué)習(xí),特別是對(duì)有VHDL語(yǔ)言編寫(xiě)程序的掌握進(jìn)一步的加強(qiáng)了,本設(shè)計(jì)是對(duì)多功能數(shù)字鐘的設(shè)計(jì)

46、,設(shè)計(jì)的的核心是能夠熟練的使用QUARTUSII軟件,通過(guò)對(duì)設(shè)計(jì)的硬件描述語(yǔ)言代碼加載到硬件電路板上,實(shí)現(xiàn)多功能數(shù)字鐘的功能,最后用軟件仿真波形也能驗(yàn)證仿真結(jié)果。</p><p>  在設(shè)計(jì)中也遇到了很多困難主要是程序仿真的時(shí)候很容易出錯(cuò),有時(shí)候是一個(gè)標(biāo)點(diǎn)符號(hào)也可能是程序出現(xiàn)錯(cuò)誤,應(yīng)此在程序的編寫(xiě)過(guò)程中要細(xì)心,還有一點(diǎn)就是在在將二十四進(jìn)制的數(shù)碼管顯示的程序修改為是十二進(jìn)制的數(shù)碼管顯示的程序時(shí),對(duì)分頻的那段程序不是

47、很理解,在同學(xué)之間一起討論學(xué)習(xí)的過(guò)程中,最后還是完成了程序的修改,最終程序運(yùn)行成功。</p><p><b>  6.2設(shè)計(jì)改進(jìn)</b></p><p>  課程設(shè)計(jì)的時(shí)間不是很長(zhǎng),但是我還是在從中學(xué)到了很多東西,我在做課程設(shè)計(jì)的過(guò)程中,也查閱了一些資料,本次課程設(shè)計(jì)還可以進(jìn)一步改進(jìn),看了一自己編寫(xiě)程序用按鍵開(kāi)關(guān)控制二十四進(jìn)制數(shù)碼管顯示向十二進(jìn)制數(shù)碼管的切換,還可以增

48、加多功能數(shù)字鐘的的功能,例如:增加日歷功能,使之能夠先死年、月、日等?;蛘咴黾优鼙砉δ?,是之能對(duì)百分秒進(jìn)行計(jì)數(shù),還可以實(shí)現(xiàn)星期的顯示。</p><p>  7、附錄:VHDL語(yǔ)言編寫(xiě)的源程序</p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  use

49、 ieee.std_logic_arith.all;</p><p>  use ieee.std_logic_unsigned.all;</p><p>  -------------------------------------------</p><p>  entity yangjiao is</p><p>  port(Clk

50、 : in std_logic;--時(shí)鐘的輸入</p><p>  Rst : in std_logic;--復(fù)位輸入</p><p>  S1,S2 : in std_logic;--時(shí)間調(diào)節(jié)輸入</p><p>  led : out std_logic_vector(3 downto 0);--整點(diǎn)輸入報(bào)時(shí)輸出</p>

51、<p>  Display : out std_logic_vector(6 downto 0);--七段數(shù)碼管顯示輸出</p><p>  SEG_SEL : buffer std_logic_vector(2 downto 0);--七段數(shù)碼管掃描輸出</p><p><b>  )</b></p><p>  end entit

52、y yangjiao;</p><p>  -------------------------------------------</p><p>  architecture behave of yangjiao is</p><p>  signal Disp_Temp :integer range 0 to 15;</p><

53、;p>  signal Disp_Decode :std_logic_vector(6 downto 0);</p><p>  signal SEC1,SEC10 :integer range 0 to 9;</p><p>  signal MIN1,MIN10 :integer range 0 to 9;</p><p

54、>  signal HOUR1,HOUR10 :integer range 0 to 9;</p><p>  signal Clk_Count1 :std_logic_vector(13 downto 0);--產(chǎn)生1HZ時(shí)鐘的分頻計(jì)數(shù)器</p><p>  signal Clk1HZ :std_logic;</p>&l

55、t;p>  signal led_count :std_logic_vector(2 downto 0);</p><p>  signal led_display :std_logic_vector(3 downto 0);</p><p><b>  begin</b></p><p>  process(

56、Clk) --實(shí)現(xiàn)時(shí)鐘10KHZ</p><p><b>  begin</b></p><p>  if(Clk'event and Clk='1')then</p><p>  if(Clk_Count1<10000)then</p><p>  Clk_Count1<=Cl

57、k_Count1+1;</p><p><b>  else</b></p><p>  Clk_Count1<="00000000000001";</p><p><b>  end if;</b></p><p><b>  end if;</b>

58、</p><p>  end process;</p><p>  Clk1HZ<=Clk_Count1(13);</p><p>  process(Clk1HZ,Rst)</p><p><b>  begin</b></p><p>  if(Rst='0')then&

59、lt;/p><p><b>  SEC1<=0;</b></p><p><b>  SEC10<=0;</b></p><p><b>  MIN1<=0;</b></p><p><b>  MIN10<=0;</b></p&

60、gt;<p><b>  HOUR1<=0;</b></p><p>  HOUR10<=0;</p><p>  elsif(Clk1Hz'event and CLK1Hz='1')then --正常運(yùn)行</p><p>  if(S1='0')then</p>

61、<p>  if(HOUR1=9)then</p><p><b>  HOUR1<=0;</b></p><p>  HOUR10<=HOUR10+1;</p><p>  elsif(HOUR10=2 and HOUR1=3)then --二十四制的時(shí)間顯示</p><p><b&

62、gt;  HOUR1<=0;</b></p><p>  HOUR10<=0;</p><p><b>  else</b></p><p>  HOUR1<=HOUR1+1;</p><p><b>  end if;</b></p><p>

63、  elsif(S2='0')then</p><p>  if(MIN1=9)then</p><p><b>  MIN1<=0;</b></p><p>  if(MIN10=5)then</p><p><b>  MIN10<=0;</b></p>

64、<p><b>  else</b></p><p>  MIN10<=MIN10+1;</p><p><b>  end if;</b></p><p><b>  else</b></p><p>  MIN1<=MIN1+1;</p>

65、<p><b>  end if;</b></p><p>  elsif(SEC1=9)then</p><p><b>  SEC1<=0;</b></p><p>  if(SEC10=5)then</p><p><b>  SEC10<=0;</b

66、></p><p>  if(MIN1=9)then</p><p><b>  MIN1<0;</b></p><p>  if(MIN10=5)then</p><p><b>  MIN10<=0;</b></p><p>  if(HOUR1=9)t

67、hen</p><p><b>  HOUR1<=0;</b></p><p>  HOUR10<=HOUR10+1;</p><p>  elsif(HOUR10=2 and HOUR1=3)then</p><p><b>  HOUR1<=0;</b></p>

68、<p>  HOUR10<=0; </p><p><b>  else</b></p><p>  HOUR1<=HOUR1+1; </p><p><b>  end if;</b></p><p><b>  else</b></p>

69、<p>  MIN10<=MIN10+1;</p><p><b>  end if;</b></p><p><b>  else</b></p><p>  MIN1<=MIN1+1;</p><p><b>  end if;</b></p&

70、gt;<p><b>  else</b></p><p>  SEC10<=SEC10+1;</p><p><b>  end if;</b></p><p><b>  else</b></p><p>  SEC1<=SEC1+1;</p

71、><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  end process;</p><p>  process(Clk)</p><p><b>  begin</b></p>

72、<p>  if(Clk1hz'event and Clk1hz='1')then</p><p>  if(MIN10=5 and MIN1=9 and SEC10=5 and sec1>2)then --在59分55秒開(kāi)始</p><p>  led_Count<=led_Count+1;</p><p><

73、;b>  else</b></p><p>  led_count<='000';</p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  end process;</p>&l

74、t;p>  process(led_count) -- LED報(bào)時(shí)改變閃爍的花樣</p><p><b>  begin</b></p><p>  case(led_count)is</p><p>  when "000"=>led_display<="0000";</p

75、><p>  when "001"=>led_display<="1111";</p><p>  when "010"=>led_display<="0111";</p><p>  when "011"=>led_display<=

76、"0011";</p><p>  when "100"=>led_display<="0001";</p><p>  when "101"=>led_display<="1111";</p><p>  when others=>le

77、d_display<="0000";</p><p><b>  end case;</b></p><p>  led<=led_display;</p><p>  end process;</p><p>  process(SEG_SEL)</p><p>

78、<b>  begin</b></p><p>  case(SEH_SEL+1)is</p><p>  when "000"=>Disp_Temp<=HOUR10;</p><p>  when "001"=>Disp_Temp<=HOUR1;</p><p

79、>  when "010"=>Disp_Temp<=10;</p><p>  when "011"=>Disp_Temp<=MIN10;</p><p>  when "100"=>Disp_Temp<=MIN1;</p><p>  when "101

80、"=>Disp_Temp<=10;</p><p>  when "110"=>Disp_Temp<=SEC10;</p><p>  when "111"=>Disp_Temp<=SEC1;</p><p><b>  end case;</b></p

81、><p>  end process;</p><p>  process(Clk)</p><p><b>  begin</b></p><p>  if(Clk'event and Clk='1')then --掃描累加</p><p>  SEG_SEL<=S

82、EG_SEL+1;</p><p>  Display<=Disp_Decode;</p><p><b>  end if;</b></p><p>  end process;</p><p>  process(Disp_Temp)</p><p><b>  begin&l

83、t;/b></p><p>  case Disp_Temp is</p><p>  when 0=>Disp_decode<="0111111";</p><p>  when 1=>Disp_decode<="0000110";</p><p>  when 2=&g

84、t;Disp_decode<="1011011";</p><p>  when 3=>Disp_decode<="1001111";</p><p>  when 4=>Disp_decode<="1100110";</p><p>  when 5=>Disp_dec

85、ode<="1101101";</p><p>  when 6=>Disp_decode<="1111101";</p><p>  when 7=>Disp_decode<="0000111";</p><p>  when 8=>Disp_decode<=&q

86、uot;1111111";</p><p>  when 9=>Disp_decode<="1101111";</p><p>  when 10=>Disp_decode<="10000000";</p><p>  when others=>Disp_decode<="

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論