2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩24頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、<p><b>  畢業(yè)設(shè)計</b></p><p><b>  姓 名:</b></p><p>  專 業(yè): </p><p>  班 級: </p><p><b>  指導教師: </b&

2、gt;</p><p><b>  電子信息工程系印制</b></p><p><b>  二○○九年十一月</b></p><p><b>  畢業(yè)設(shè)計任務(wù)書</b></p><p><b>  姓 名:</b></p><p&

3、gt;  專 業(yè): </p><p>  班 級: </p><p>  設(shè)計課題:LED點陣廣告屏設(shè)計</p><p><b>  指導教師: </b></p><p><b>  電子信息工程系印制</b></p>

4、;<p><b>  二○一一年九月</b></p><p><b>  第一章 緒 論</b></p><p>  單片微型計算機(single chip microcomputer)簡稱單片機,它是為各類專用控制器而設(shè)計的通用或?qū)S梦⑿陀嬎銠C系統(tǒng),高密度集成了普通計算機微處理器,一定容量的RAM和ROM以及輸入/輸出接口,定時器

5、等電路于一塊芯片上構(gòu)成的。</p><p>  單片機自20世紀70年代問世以來,以極其高的性價比受到人們的重視和關(guān)注,所以應(yīng)用很廣,發(fā)展很快。單片機的優(yōu)點是體積小、重量輕、抗干擾能力強,對環(huán)境要求不高,價格低廉,可靠性高,靈活性好,開發(fā)較為容易。在現(xiàn)代工業(yè)控制和一些智能化儀器儀表中,越來越多的場所需要用點陣圖形顯示器顯示漢字,漢字顯示屏也廣泛應(yīng)用到汽車報站器,廣告屏等。所以研究LED顯示有實用的意義。</

6、p><p>  漢字顯示方式是先根據(jù)所需要的漢字提取漢字點陣(如16×16 點陣),將點陣文件存入ROM,形成新的漢字編碼;而在使用時則需要先根據(jù)新的漢字編碼組成語句,再由MCU根據(jù)新編碼提取相應(yīng)的點陣進行漢字顯示。不論顯示圖形還是文字,都是控制與組成這些圖形或文字的各個點所在位置相對應(yīng)的LED器件發(fā)光。通常事先把需要顯示的圖形文字轉(zhuǎn)換成點陣圖形,在按照顯示控制的要求以一定的格式形成顯示數(shù)據(jù)。對于只控制通斷

7、的圖文顯示屏來說,每個LED發(fā)光器件占據(jù)數(shù)據(jù)中的1位(1bit),在需要該LE D器件發(fā)光的數(shù)據(jù)中相應(yīng)的位填1,否則填0。當然,根據(jù)控制電路的安排,相反的定義同樣時可行的。這樣依照所需顯示的圖形文字,按顯示屏的各行各列逐點填寫顯示數(shù)據(jù),就可以構(gòu)成一個顯示數(shù)據(jù)文件。顯示圖形的數(shù)據(jù)文件,其格式相對自由,只要能夠滿足顯示控制的要求即可。文字的點陣格式比較規(guī)范,可以采用現(xiàn)行計算機通用的字庫字模。組成一個字的點陣,其大小也可以有16×1

8、6、24×24、32×32、48×48等不同規(guī)格。</p><p>  用點陣方式構(gòu)成圖形或文字,是非常靈活的,可以根據(jù)需要任意組合和變化,只要設(shè)計好合適的數(shù)據(jù)文件,就可以得到滿意的顯示效果。因而采用點陣式圖文顯示屏顯示經(jīng)常需要變化的信息,是非常有效的。</p><p><b>  第二章 硬件設(shè)計</b></p><

9、p>  2.1設(shè)計框圖及介紹</p><p>  LED點陣總體框圖如圖2.1所示,點陣電路大體上可以分成微機本身的硬件、顯示驅(qū)動電路、控制信號電路三部分。控制電路部分包括一個51CUP和一些外圍電路。在整個電路當中此控制電路部分相當于一個上位機,它負責控制整個電路以及相應(yīng)的程序的運行、與PC機的串行通訊、以及給屏體電路部分發(fā)送命令。點陣顯示屏體、以及它的行和列的各個驅(qū)動電路。由于兩部分的電路在制板時可以放

10、到一起,所以可以將其字庫放到控制電路部分使用串行通訊方式來與屏體電路部分進行數(shù)據(jù)和命令的傳送。</p><p>  此顯示電路采用掃描方式進行顯示時,每行有一個行驅(qū)動器,各行的同名列共用一個列驅(qū)動器。由行譯碼器給出的行選通信號,從第一行開始,按順序依次對各行進行掃描(把該行與電源的一端接通)。另一方而,根據(jù)各列鎖存的數(shù)據(jù),確定相應(yīng)的列驅(qū)動器是否將該列與電源的另一端接通。接通的列,就在該行該列點燃相應(yīng)的LED;未接

11、通的列所對應(yīng)的LED熄滅。可通過掃描輸出口的控制實現(xiàn)顏色的轉(zhuǎn)換。</p><p>  圖2.1 點陣顯示的總體框圖</p><p>  2.2 51系列單片機簡介</p><p>  單片機(Microcontroller,又稱微處理器)是在一塊硅片上集成了各種部件的微型機,這些部件包括中央處理器CPU、數(shù)據(jù)存儲器RAM、程序存儲器ROM、定時器/計數(shù)器和多種I/

12、O接口電路。</p><p>  AT89C51單片機的基本結(jié)構(gòu)見圖2.2</p><p>  圖2.2 51單片機的基本結(jié)構(gòu)</p><p>  51是MCS-51系列單片機的一個產(chǎn)品。MCS-51系列單片機是Intel公司推出的通用型單片機, 51單片機系列指的是MCS-51系列和其他公司的51衍生產(chǎn)品。這些衍生品是在基本型基礎(chǔ)上增強了各種功能的產(chǎn)品。這些產(chǎn)品給

13、8位單片機注入了新的活力,給它的開發(fā)應(yīng)用開拓了更廣泛的前景。</p><p>  51系列的內(nèi)部結(jié)構(gòu)可以劃分為CPU、存儲器、并行口、串行口、定時器/計數(shù)器、中斷邏輯幾部分。</p><p><b>  (1)中央處理器</b></p><p>  51的中央處理器由運算器和控制邏輯構(gòu)成,其中包括若干特殊功能寄存器(SFR)。算術(shù)邏輯單元ALU

14、能對數(shù)據(jù)進行加、減、乘、除等算術(shù)運算;“與”、“或”、“異或”等邏輯運算以及位操作運算。</p><p>  PSW的格式如下所示,其各位的含義是:</p><p>  CY:進位標志。有進位/錯位時CY=1,否則CY=0。 </p><p>  AC:半進位標志。當D3位向D4位產(chǎn)生進位/錯位時,AC=1,否則AC=0,常用于十進制調(diào)整運算中。</p>

15、<p>  F0:用戶可設(shè)定的標志位,可置位/復位,也可供測試。</p><p>  RS1、RS0:四個通用寄存器組選擇位,該兩位的四種組合狀態(tài)用來選擇0~3寄存器組。。</p><p>  OV:溢出標志。當帶符號數(shù)運算結(jié)果超出-128~+127范圍時OV=1,否則OV=0。當無符號數(shù)乘法結(jié)果超過255時,或當無符號數(shù)除法的除數(shù)為0時OV=1,否則OV=0。</p&

16、gt;<p>  P:奇偶校驗標志。每條指令執(zhí)行完,若A中1的個數(shù)為奇數(shù)時P=1,否則P=0,即偶校驗方式。</p><p>  控制邏輯主要包括定時和控制邏輯、指令寄存器 、譯碼器以及地址指針DPTR和程序寄存器PC等。</p><p><b> ?。?)存儲器組織</b></p><p>  51單片機在物理上有四個存儲空間:

17、片內(nèi)程序存儲器和片外程序存儲器、片內(nèi)數(shù)據(jù)存儲器和片外數(shù)據(jù)存儲器。</p><p>  51的存儲器組織結(jié)構(gòu)如圖2.3所示。</p><p>  圖 2-3 51存儲器組織結(jié)構(gòu)</p><p>  程序存儲器的某些單元是保留給系統(tǒng)使用的:0000H~0002H單元是所有執(zhí)行程序的入口地址,復位以后,CPU總是叢0000H單元開始執(zhí)行程序。0003H~002AH單元均

18、勻地分為五段,用做五個中斷服務(wù)程序的入口。用戶程序不應(yīng)進入上述區(qū)域。</p><p>  51的RAM雖然字節(jié)數(shù)不很多,但卻起著十分重要的作用。256個字節(jié)被分為兩個區(qū)域:00H~7FH時真正的RAM區(qū),可以讀寫各種數(shù)據(jù)。而80H~FFH是專門用于特殊功能寄存器(SFR)的區(qū)域。對于8051安排了21個特殊功能寄存器,每個寄存器為8位,所以實際上128個字節(jié)并沒有全部利用。</p><p>

19、;  內(nèi)部RAM的各個單元,都可以通過直接地址來尋找,對于工作寄存器,則一般都直接用R0~R7,對特殊功能寄存器,也是直接使用其名字較為方便。8051內(nèi)部特殊功能寄存器都是可以位尋址的,并可用“寄存器名.位”來表示,如ACC.0,B.7等。</p><p>  單片機最小應(yīng)用系統(tǒng)電路設(shè)計</p><p>  8051系列的單片機</p><p>  AT89C單片機

20、為很多嵌入式控制系統(tǒng)提供了一種靈活性高且價廉的方案。圖片見下圖附錄1。</p><p>  附錄1 89S51管腳圖</p><p>  (1).管腳說明VCC:供電電壓。GND:接地。P0口:P0口為一個8位漏級開路雙向I/O口,每腳可吸收8TTL門電流。當P1口的管腳第一次寫1時,被定義為高阻輸入。P0能夠用于外部程序數(shù)據(jù)存儲器,它可以被定義為數(shù)據(jù)/地址的第八位。在FIASH編

21、程時,P0 口作為原碼輸入口,當FIASH進行校驗時,P0輸出原碼,此時P0外部必須被拉高。P1口:P1口是一個內(nèi)部提供上拉電阻的8位雙向I/O口,P1口緩沖器能接收輸出4TTL門電流。P1口管腳寫入1后,被內(nèi)部上拉為高,可用作輸入,P1口被外部下拉為低電平時,將輸出電流,這是由于內(nèi)部上拉的緣故。在FLASH編程和校驗時,P1口作為第八位地址接收。 P2口:P2口為一個內(nèi)部上拉電阻的8位雙向I/O口,P2口緩沖器可接收,輸出4個TT

22、L門電流,當P2口被寫“1”時,其管腳被內(nèi)部上拉電阻拉高,且作為輸入。并因此作為輸入時,P2口的管腳被外部拉低,將輸出電流。這是由于內(nèi)部上拉的緣故。P2口當用于外部程序存儲器或16位地址外部數(shù)據(jù)存儲器進行存取時,P2口輸出地址的高八位。在給出地址“1”時,它利用內(nèi)部上拉優(yōu)勢,當對外部八位地址數(shù)據(jù)存儲器進行讀</p><p>  圖2.4 單片機最小應(yīng)用系統(tǒng)原理圖</p><p>  復位的

23、實現(xiàn)通常用2種方式: 開機上電復位和外部手動復位,本設(shè)計用的是外部手動復位。電路圖2.5如下:</p><p>  圖2.5 單片機復位圖</p><p>  AT89C51工作電壓VCC=5V,其EA引腳需接高電平,5V電源電路如圖2.6所示。</p><p>  圖2.6 單片機電源原理圖</p><p>  上半部分第一列完成之后,繼

24、續(xù)掃描下半部分的第一列,為了接線的方便,我們?nèi)栽O(shè)計成由上往下的掃描方式,即從P27向P20方向掃描,從上圖可以看到,這一列所有的都不亮,所以代碼為00000000,16進制為00H,然后單片機轉(zhuǎn)向上半部的第二列,除了P05亮,其他的都不亮,即為00000100,16進制為04H,這一列掃描完成之后繼續(xù)進行下半部分的掃描,除了P21亮,其他的為不亮,為二進制00100000,即16進制20H。</p><p>  

25、按照這個方法,繼續(xù)進行下面的掃描,一共掃描32個8位,可以得出漢字“寶”的掃描代碼為 :02H,00H,01H,00H,7FH,FEH,40H,02H,</p><p>  80H,04H,00H,10H,3FH,F8H,01H,00H,</p><p>  01H,20H,1FH,F0H,01H,00H,01H,60H,</p><p>  01H,20H,01H

26、,08H,7FH,FCH,00H,00H</p><p>  圖2.11 點陣顯示原理圖</p><p>  由這個原理可以看到,無論顯示何種字體或圖像,都可以用這種方法來分析出它的掃描代碼從而顯示在屏幕上。了解漢字的顯示原理之后,那如何得到漢字的字模信息呢?現(xiàn)在有一些現(xiàn)成的漢字字模生成軟件,可從網(wǎng)上下載漢字字庫提取程序直接提取字庫,如圖2.12所示的為一種字模生成軟件,軟件打開后輸入漢字

27、,點擊“檢取”后,十六進制數(shù)據(jù)漢字代碼即可以自動生成。</p><p><b>  2.4 點陣的移動</b></p><p>  以下以16×16點陣為例介紹點陣的移動。要顯示一個字符,該字符的點陣數(shù)據(jù)可以列向(縱向)16點組字,又可以行向(橫向)16點組字。1.顯示字符的左右移動</p><p>  (1)列掃描方式左移動:列向組

28、字顯示字符水平方向的移動(左滾動)</p><p><b>  在這里有兩個方法:</b></p><p>  方法1:延長數(shù)組法。將原來字符點陣數(shù)組的16個數(shù)據(jù)重復一遍延長,點陣數(shù)組的數(shù)據(jù)個數(shù)為32個。每掃描儀幀取8個數(shù)據(jù)顯示,下一幀取數(shù)要在數(shù)組中后移一個數(shù)取數(shù)。循環(huán)一遍掃16幀。可以假想有兩塊16×16的點陣模塊(共32幀)水平平行排列,用一個恰好能罩住

29、8列點陣的中空方框去罩這個點陣,第1(第1幀)罩住最左邊數(shù)起第一列開始的16列,就掃描顯示這16列;第2次(第2幀)使方框右移一列,罩住做左邊數(shù)起第2列開始的16列,就掃描顯示這16列;······;這樣每掃描完一幀使方框右移一列,最后第16次(第16幀)時,罩住左邊數(shù)起的第16列開始的16列,就掃描顯示這16列。如此完成16幀畫面的掃描顯示,也就完成了整個一次移動循環(huán)掃描、之

30、后反復循環(huán),即可呈現(xiàn)顯示字符沿水平向左移動的圖像,如圖2.13所示。</p><p>  圖2.13 方框圖法左右移動示意圖</p><p>  因為是列向組字(列掃描方式,點陣數(shù)據(jù)為行碼,上邊為地位下面為高位),希望顯示移動的一個字符,第1次掃描從行碼的點陣數(shù)組中取第1~16個數(shù)據(jù),送行碼輸出口,對應(yīng)于這8個數(shù)據(jù),同時用列碼輸出口輸出列碼,分別控制第1~16列。掃描完前16個數(shù)據(jù)之后,第

31、2次掃描從點陣數(shù)組中取第2~14個數(shù)據(jù)(第17個數(shù)據(jù)與地1個數(shù)據(jù)同),送行碼輸出口,對應(yīng)于這16個數(shù)據(jù),同時用列碼輸出口輸出列碼,仍分別控制掃地1~16列。第3次掃描從點陣數(shù)組中取第3~18個數(shù)據(jù)(第18個數(shù)據(jù)碼與地2個數(shù)據(jù)碼相同)掃描······;如此實現(xiàn)字符向左移動。</p><p>  2.5 LED陣列驅(qū)動電路</p><p&

32、gt;  正向點亮一顆LED,至少也得10到20毫安,若電流不夠大,則LED不夠亮!而不管是8051的輸入還是輸出端其高態(tài)輸出電流都不是很高,不過1~2毫安而已。因此,很難直接高態(tài)驅(qū)動LED。這時候就需要額外的驅(qū)動電路,分別針對共陽極和共陰極LED陣列,有兩種不同的驅(qū)動方式。針對輸出態(tài)的不同,分為:高態(tài)掃描-高態(tài)顯示,高態(tài)掃描-低態(tài)顯示,低態(tài)掃描-高態(tài)顯示和低態(tài)掃描-低態(tài)顯示四種方式。下面針對設(shè)計中實際用到的一種驅(qū)動方式介紹一種:共陰型

33、低態(tài)掃描-低態(tài)顯示信號驅(qū)動電路。</p><p>  圖2.17所示是針對共陰性LED陣列而設(shè)計的驅(qū)動電路,在這種驅(qū)動電路采用低態(tài)掃描,也就是任何時間只有一個高態(tài)信號,其他則為低態(tài)。一行掃描完成之后,再把高態(tài)信號轉(zhuǎn)到臨近的其他行。掃描信號經(jīng)限流電阻接到PNP晶體管的基極,晶體管的集電極接地,射極則連至LED點陣的列引腳,若要同時點亮該列的16個LED,則晶體管的電流必須大于200毫安才行。常用的2N3904之類就

34、可以達到當?shù)蛻B(tài)的列掃描信號輸入晶體管的基極后,該晶體管即為正向,而產(chǎn)生電流,即可使該列的LED具有點亮的條件</p><p>  所要的顯示信號連接到一個PNP晶體管的基極,而該晶體管的射極連接到VCC,同樣的,當?shù)蛻B(tài)的顯示信號輸入時,晶體管的集電極電流將流入行LED的陽極,即可點亮該行的LED。如圖2.17所示.</p><p>  圖2.17共陰型低態(tài)掃描-低態(tài)顯示信號驅(qū)動電路<

35、/p><p>  在這個電路之中驅(qū)動的掃描信號總共有16條,如果直接由8051輸出,將占用2個PORT口,浪費了寶貴的資源,不太理想,在此使用的是一個4對16的譯碼器 (74LS154),這個譯碼器是將輸入的16進位碼解碼輸出低態(tài)的掃描信號。輸出的低態(tài)掃描信號可直接接到PNP晶體管的基極,如果太大的話也可以先經(jīng)過限流電阻再接到PNP晶體管的基極,信號最后經(jīng)過晶體管的放大后即可推動16個LED點陣了</p>

36、<p>  2.8.174ls154的引腳功能及介紹</p><p> ?。?),74ls154功能簡介:54/74154 為 4 線-16 線譯碼器,當選通端(G1、G2)均為低電平時,可將地址端(ABCD)的二進制編碼在一個對應(yīng)的輸出端,以低電平譯出。 如果將G1和G2中的一個作為數(shù)據(jù)輸入端,由ABCD對輸出尋址,74LS154還可作1線-16線數(shù)據(jù)分配器。</p><p&g

37、t;  附錄2 74LS154管腳圖</p><p> ?。?)引腳功能介紹A、B、C、D 譯碼地址輸入端(低電平有效)G1、G2 選通端(低電平有效)

38、 0-15 輸出端(低電平有效)</p><p> ?。?)74ls154真值表:</p><p>  附錄4 74LS373管腳圖</p><p><b>  第三章 軟件設(shè)計</b></p><p>  3.1陣左移顯示的流程圖及分析<

39、;/p><p>  流程圖(圖3.1)和程序的簡要說明:在程序的開始設(shè)定初始的地址是0H,并定義了”選擇符號F”的初值為0,為下面的點陣掃描的出口選擇準備。在主程序的開始的延時子程序,延時0.1秒,既是在程序通電啟動開始的停頓,也作為一次移動的末尾時到開始下一次重復移動開始之間的停頓,在上面的“延時子程序”中已經(jīng)介紹了計算的方法。程序接著向下運行,定義了取碼指針的位置,設(shè)為00H的初始位置,再下面的74LS154掃描

40、指針的初值設(shè)為00H,是因為掃描要從開始的零點開始掃。</p><p><b>  3.2調(diào)試 </b></p><p>  調(diào)試主要分為硬件調(diào)試和軟件調(diào)試: </p><p>  硬件調(diào)試:在焊接電路板的時候,應(yīng)該從最基本的最小系統(tǒng)開始,分模塊,逐個進行焊接測試。在對各個硬件模塊進行測試時,要保證軟件正確的情況下去測試硬件,要不然發(fā)生錯誤時,

41、不知道到底是哪一方出錯了。當然,在設(shè)計的過程中也存在著失誤和不足,在調(diào)試中進行修改了。 </p><p>  軟件調(diào)試:軟件部分是先參考書上的例子,然后自己根據(jù)硬件電路寫程序,由于以前所學是單片機匯編語言,所以這個系統(tǒng)在編寫程序過程中都采用匯編語言編寫。剛剛開始,編寫不會一次性通過,經(jīng)過仔細分析修改最后編譯成功。但是,在實際寫如S51中,LED顯示屏出現(xiàn)各種各樣的亂碼,通過再次認真仔細分析多次修改程序后,程序能夠

42、正常運行。 </p><p><b>  總結(jié)</b></p><p>  本次畢業(yè)設(shè)計從九月中旬到現(xiàn)在有一個多月,回顧著些天我感到學到了很多東西,在寫這個心得的時候,我想就這些天的收獲,說一說自己內(nèi)心的想法。</p><p>  本設(shè)計的是一個室內(nèi)用16x16的點陣LED圖文顯示屏,能夠在目測條件下LED顯示屏各點亮度均勻、充足,可顯示圖形和

43、文字,顯示圖形和文字應(yīng)穩(wěn)定、清晰無串擾。圖形或文字顯示有靜止、移入移出等顯示方式。本系統(tǒng)具有硬件少,結(jié)構(gòu)簡單,容易實現(xiàn),性能穩(wěn)定可靠,成本低等特點。</p><p>  總結(jié)本文的研究工作,主要做了下面幾點工作:</p><p>  一、通過查閱大量的相關(guān)資料,詳細了解了LED的發(fā)光原理和LED顯示屏的原理,了解了LED的現(xiàn)狀,清楚地了解了LED顯示屏與其它顯示屏相比較有那些優(yōu)點,明確了研

44、究目標。并且通過對單片機資料的查閱和應(yīng)用,更進一步增加了對單片機知識的理解和運用能力。并證實了自己的思路:“查資料→思考總結(jié)→運用→找出差錯,再查資料和向別人詢問→再次運用”的正確性。</p><p>  二,本文設(shè)計的LED顯示屏能夠?qū)崿F(xiàn)在目測條件下LED顯示屏各點亮度均勻、充足,可顯示圖形和文字,顯示圖形和文字應(yīng)穩(wěn)定、清晰無串擾。圖形或文字顯示有靜止、移入移出等顯示方式。</p><p&g

45、t;  三,本文列出了系統(tǒng)具體的硬件設(shè)計方案,硬件結(jié)構(gòu)電路圖,軟件流程圖和具體匯編語言程序設(shè)計與調(diào)試等方面。</p><p>  四,過這次畢業(yè)設(shè)計,重新復習并進一步增強了動手的能力,學以致用,把只是運用到實際生活中才是根本目的。</p><p><b>  參考文獻</b></p><p>  1《單片機技術(shù)實用教程》,胡錦 蔡谷明 梁先宇編

46、著,高等教育出版社,2006.12</p><p>  2《微機控制技術(shù)》(第2版),楊寧 黃元峰編,高等教育出版社,2008.4 </p><p>  3單片機應(yīng)用程序設(shè)計技術(shù)》,周航慈編著,北京航空航天大學出版社</p><p>  4《MCS-51單片機原理及應(yīng)用》,孫涵芳編著,北京航空航天大學出版社 </p><p>  5 張義和

47、 陳敵北編著·《例說8051》·人民郵電出版社·2006年</p><p>  6周越主編·《單片機技術(shù)實驗實訓教程》·中國水利水電出版社·2007年</p><p>  7 周越主編·《單片機應(yīng)用技術(shù)》·中國水利水電出版社·2009年</p><p>  8李全利編·

48、;《單片機原理及應(yīng)用技術(shù)》·高等教育出版社·2004年 </p><p>  9 李忠國 陳剛編著·《單片機應(yīng)用技能實訓》·人民郵電出版社·2006年</p><p>  10振民 丁紅主編·《電子設(shè)計自動化EDA》·中國水利水電出版社·2009年</p><p><b&g

49、t;  附錄一:程序清單</b></p><p>  ORG 0000H;</p><p>  CLR F0;顏色選擇符號F0清零</p><p>  START: MOV A,#00H;清除屏幕</p><p>  MOV P2,A;顯示信號輸出到P2口</p><p>  MOV R3,#200

50、;延時程序</p><p>  D1: MOV R5,#250;</p><p>  F1: DJNZ R5,F1;</p><p>  DJNZ R3,D1;</p><p>  MOVE:MOV 20H,#00;取碼指針地址20H初值為00</p><p>  MOV R4,00H;74LS15

51、4掃描指針初值為00</p><p>  M1:MOV R1,#65;每屏停留時間</p><p>  M2:MOV R6,#16;每屏一個字,16個數(shù)據(jù)碼</p><p>  MOV R0,20H;取碼指針存入R0</p><p>  M3: MOV A,R4;掃描指針載入A</p><p>  JB F0,ORGA

52、NGE;顏色選擇</p><p>  CLR P0.2;清P0.2</p><p>  SETB P0.3;P0.3置1</p><p>  MOV P1,A;輸出至P1掃描列</p><p><b>  SJMP M4;</b></p><p>  ORGANGE:MOV P3,A;</p

53、><p><b>  CLR P0.3;</b></p><p>  M4: MOV A,R0;取碼指針載入A</p><p>  MOV DPTR,#TABLE;數(shù)據(jù)指針指到 TABLE</p><p>  MOVC A,@A+DPTR;到TABLE取上半部數(shù)據(jù)碼</p><p>  SETB P

54、0.1;P0.1口置1</p><p>  CLR P0.0;清P0.0口</p><p>  MOV P2,A;輸出至P2口顯示</p><p>  INC R0;取下一個碼</p><p>  MOV A,R0;取碼指針載入A</p><p>  MOV DPTR,#TABLE;數(shù)據(jù)指針指到TABLE</p&

55、gt;<p>  MOVC A,@A+DPTR;到TABLE取下半部碼</p><p>  SETB P0.0;</p><p><b>  CLR P0.1;</b></p><p>  MOV P2,A;輸出到P2口顯示</p><p>  INC R0;取碼值加1</p><p&g

56、t;  MOV R3,#50;延時</p><p>  DJNZ R3,$;</p><p>  MOV A,#00H;清除屏幕</p><p><b>  MOV P2,A;</b></p><p>  INC R4;掃描下一行</p><p>  DJNZ R6,M3;判斷顯示一屏</p

57、><p>  MOV R4,#00;清除74LS154掃描指針為00</p><p>  DJNZ R1,M2;每屏停留時間</p><p>  MOV A,20H;取碼指針載入A</p><p>  ADD A,#02;指針加2</p><p>  MOV 20H,A;再存入20H地址取碼指針</p>&l

58、t;p>  XRL A,#128;四個字是否都左移完?</p><p>  JNZ M1;否,跳到M1</p><p>  CPL F0; 顏色選擇符號F0清零</p><p>  SJMP START;是,跳到START</p><p>  TABLE: 02H,00H,01H,00H,7FH,FEH,40H,02H, &l

59、t;/p><p>  80H,04H,00H,10H,3FH,F8H,01H,00H,</p><p>  01H,20H,1FH,F0H,01H,00H,01H,60H,</p><p>  01H,20H,01H,08H,7FH,FCH,00H,00H</p><p>  00H,40H,00H,88H,01H,FCH,FDH,08H,

60、 </p><p>  05H,48H,49H,08H,29H,28H,11H,10H,</p><p>  11H,04H,29H,FEH,24H,04H,40H,24H,</p><p>  87H,F4H,00H,04H,00H,14H,00H,08H </p><p>  04H,00H,FE

61、H,04H,24H,FEH,24H,84H,</p><p>  3CH,84H,24H,84H,24H,84H,3CH,84H,</p><p>  24H,FCH,24H,84H,27H,48H,FCH,48H,</p><p>  44H,84H,04H,86H,05H,02H,04H,00H</p><p>  04H,40H,04H

62、,40H,04H,40H,04H,40H, 業(yè)</p><p>  44H,44H,24H,44H,24H,48H,14H,48H,</p><p>  14H,50H,14H,50H,14H,60H,04H,40H,</p><p>  04H,40H,04H,44H,FFH,FEH,00H,00H</p><p>

63、;  10H,40H,10H,40H,10H,48H,13H,FCH, 技</p><p>  FCH,40H,10H,40H,10H,40H,13H,F8H,</p><p>  1AH,08H,31H,10H,D1H,10H,10H,A0H,</p><p>  10H,40H,10H,B0H,51H,0EH,26H,04H</p&

64、gt;<p>  01H,00H,01H,40H,01H,30H,01H,10H, </p><p>  01H,04H,FFH,FEH,01H,00H,03H,80H,</p><p>  05H,40H,09H,20H,11H,10H,21H,0EH,</p><p>  C1H,04H,01H,00H,01H,00H,

65、01H,00H</p><p>  22H,08H,11H,08H,11H,10H,00H,20H, </p><p>  7FH,FEH,40H,02H,80H,04H,1FH,E0H,</p><p>  00H,40H,01H,84H,FFH,FEH,01H,00H, </p><p> 

66、 01H,00H,01H,00H,05H,00H,02H,00H</p><p>  00H,80H,78H,40H,4FH,FEH,54H,02H, 院</p><p>  58H,14H,63H,F8H,50H,00H,48H,08H,</p><p>  4FH,FCH,48H,A0H,68H,A0H,50H,A0H,</p>

67、;<p>  41H,22H,41H,22H,42H,1EH,4CH,00H</p><p>  02H,00H,02H,00H,02H,10H,7FH,F8H, 電</p><p>  42H,10H,42H,10H,7FH,F0H,42H,10H,</p><p>  42H,10H,7FH,F0H,42H,10H,02H,

68、00H,</p><p>  02H,04H,02H,04H,01H,FCH,00H,00H</p><p>  00H,00H,3FH,F0H,00H,10H,00H,20H, 子</p><p>  00H,40H,01H,80H,01H,04H,FFH,FEH,</p><p>  01H,00H,01H,00H

69、,01H,00H,01H,00H,</p><p>  01H,00H,01H,00H,05H,00H,02H,00H</p><p>  00H,38H,7FH,C0H,04H,00H,04H,10H, 系</p><p>  08H,20H,3FH,C0H,01H,00H,02H,20H,</p><p>  04

70、H,10H,3FH,F8H,01H,08H,09H,20H,</p><p>  09H,10H,11H,08H,25H,08H,02H,00H</p><p>  10H,00H,10H,10H,11H,F8H,11H,10H, 機</p><p>  FDH,10H,11H,10H,31H,10H,39H,10H,</p>

71、<p>  55H,10H,51H,10H,91H,10H,11H,10H,</p><p>  11H,12H,12H,12H,14H,0EH,18H,00H</p><p>  02H,00H,02H,00H,02H,10H,7FH,F8H, 電</p><p>  42H,10H,42H,10H,7FH,F0H,42

72、H,10H,</p><p>  42H,10H,7FH,F0H,42H,10H,02H,00H,</p><p>  02H,04H,02H,04H,01H,FCH,00H,00H</p><p>  1FH,F0H,01H,00H,7FH,FEH,41H,02H, 零</p><p>  9DH,74H

73、,01H,00H,1DH,70H,02H,80H,</p><p>  0CH,60H,32H,18H,C1H,06H,0FH,E0H,</p><p>  00H,40H,02H,80H,01H,00H,00H,80H</p><p>  04H,00H,04H,00H,04H,00H,04H,20H, </p>

74、<p>  FFH,F0H,04H,20H,04H,20H,04H,20H,</p><p>  04H,20H,04H,20H,08H,20H,08H,20H,</p><p>  10H,22H,10H,22H,20H,1EH,C0H,00H</p><p>  1FH,F0H,01H,00H,7FH,FEH,41H,02H,

75、 </p><p>  9DH,74H,01H,00H,1DH,70H,02H,80H,</p><p>  0CH,60H,32H,18H,C1H,06H,0FH,E0H,</p><p>  00H,40H,02H,80H,01H,00H,00H,80H</p><p>  00H,00H,00H,00H,00H,00H,00

76、H,00H, </p><p>  00H,00H,00H,00H,00H,04H,FFH,FEH,</p><p>  00H,00H,00H,00H,00H,00H,00H,00H,</p><p>  00H,00H,00H,00H,00H,00H,00H,00H</p><p>  01H,00H,

77、09H,04H,FDH,7EH,21H,10H, </p><p>  25H,10H,25H,10H,25H,10H,F5H,7CH,</p><p>  25H,10H,29H,10H,21H,10H,22H,10H,</p><p>  3AH,10H,E2H,14H,44H,FEH,08H,00H</p>&l

78、t;p>  00H,20H,7EH,20H,02H,20H,04H,20H, </p><p>  08H,20H,0AH,B0H,0CH,A8H,39H,24H,</p><p>  C9H,26H,0AH,22H,0CH,20H,08H,20H,</p><p>  08H,20H,08H,20H,28H,A0H,10H

79、,40H</p><p>  10H,08H,0AH,1CH,7FH,60H,00H,40H, </p><p>  22H,40H,14H,44H,FFH,FEH,0AH,48H,</p><p>  7FH,48H,08H,48H,0AH,48H,29H,88H,</p><p>  48H,88H,8

80、9H,08H,28H,08H,10H,08H</p><p>  02H,00H,02H,40H,02H,20H,02H,04H, </p><p>  FFH,FEH,02H,80H,02H,88H,04H,88H,</p><p>  04H,90H,04H,A0H,08H,C0H,08H,82H,</p>&

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論