2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩13頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p>  課 程 設(shè) 計(jì) 說(shuō) 明 書</p><p>  學(xué)生姓名: </p><p>  學(xué) 號(hào): </p><p>  學(xué) 院: </p><p

2、>  專 業(yè): 電子信息工程 </p><p>  題 目: 專業(yè)綜合實(shí)踐之硬件部分: </p><p>  基于單片機(jī)的漢字點(diǎn)陣系統(tǒng)的設(shè)計(jì) </p><p>  2013 年 元 月 6 日</p><p>  課 程 設(shè) 計(jì) 任 務(wù) 書</p>&l

3、t;p>  課 程 設(shè) 計(jì) 任 務(wù) 書</p><p><b>  目錄</b></p><p>  第1節(jié) 設(shè)計(jì)方案簡(jiǎn)介1</p><p>  1.1 等效電路圖1</p><p>  1.2 點(diǎn)陣式LED掃描法簡(jiǎn)介2</p><p>  第2節(jié) 系統(tǒng)設(shè)計(jì)條件及系統(tǒng)各功能部分的設(shè)計(jì)和

4、說(shuō)明2</p><p>  2.1 電路原理圖2</p><p>  2.2 硬件系統(tǒng)連線4</p><p>  2.3 程序設(shè)計(jì)內(nèi)容4</p><p>  2.3.1“胡”字的循環(huán)移動(dòng)顯示代碼的形成4</p><p>  第3節(jié) 系統(tǒng)的程序調(diào)試7</p><p>  第4節(jié) 系統(tǒng)設(shè)

5、計(jì)的結(jié)果8</p><p>  4.1 實(shí)驗(yàn)代碼8</p><p>  4.2 實(shí)驗(yàn)結(jié)果截圖9</p><p>  第5節(jié) 設(shè)計(jì)評(píng)述及收獲體會(huì)9</p><p>  第6節(jié) 參考文獻(xiàn)10</p><p>  第1節(jié) 設(shè)計(jì)方案簡(jiǎn)介</p><p><b>  1.1 等效電路圖

6、</b></p><p>  下圖為8×8點(diǎn)陣式LED外觀及引腳圖,等效電路如下所示:</p><p>  圖1.1 8×8點(diǎn)陣式LED外觀及引腳圖</p><p>  圖1.2 8×8點(diǎn)陣式LED等效電路</p><p>  從上圖可以看出,8×8點(diǎn)陣共需要64個(gè)發(fā)光二極管組成,且每個(gè)發(fā)光

7、二極管是放置在行線和列線的交叉點(diǎn)上,當(dāng)對(duì)應(yīng)的某一列置1電平,某一行置0電平,則相應(yīng)的二極管就亮。</p><p>  1.2 點(diǎn)陣式LED掃描法簡(jiǎn)介</p><p>  點(diǎn)陣式LED一般采用掃描式顯示,實(shí)際運(yùn)用分為三種方式:</p><p><b>  點(diǎn)掃描</b></p><p><b>  行掃描<

8、/b></p><p><b>  列掃描</b></p><p>  若使用第一種方式,其掃描頻率必須大于16×64=1024Hz,周期小于1ms即可。若使用第二種和第三種掃描方式,則頻率必須大于16×8=128Hz,周期小于7.8ms即可視覺暫留要求。此外,一次驅(qū)動(dòng)一列或一行(8顆LED)時(shí)需外加驅(qū)動(dòng)電路提高電流,否則LED亮度不足。&l

9、t;/p><p>  第2節(jié) 系統(tǒng)設(shè)計(jì)條件及系統(tǒng)各功能部分的設(shè)計(jì)和說(shuō)明</p><p><b>  2.1 電路原理圖</b></p><p>  圖2.1 STC芯片圖</p><p>  圖 2.2 原理彩圖</p><p>  圖2.3 protel 仿真圖</p><p&g

10、t;  2.2 硬件系統(tǒng)連線</p><p>  1.把“單片機(jī)系統(tǒng)”區(qū)域中的P1端口用8芯排芯連接到“點(diǎn)陣模塊”區(qū)域中的“DR1-DR8”端口上;</p><p>  2.把“單片機(jī)系統(tǒng)”區(qū)域中的P3端口用8芯排芯連接到“點(diǎn)陣模塊”區(qū)域中的“DC1-DC8”端口上;</p><p>  2.3 程序設(shè)計(jì)內(nèi)容</p><p>  2.3.1“

11、胡”字的循環(huán)移動(dòng)顯示代碼的形成</p><p>  如下圖所示,開始顯示“胡”字:</p><p>  1 2 3 4 5 6 7 8</p><p>  因此,每一列的列代碼分別為:00H,2EH,7AH,2EH,01H,7EH,54H,7FH。只要把這些代碼送到相應(yīng)的列線上面,即可實(shí)現(xiàn)漢字“胡”顯示。</p><p

12、>  送顯示代碼過(guò)程如下所示:</p><p>  送第一列線代碼到P3端口,同時(shí)置第一行線為“0”,其它行線為“1”,延時(shí)2ms左右,</p><p>  送第二列線代碼到P3端口,同時(shí)置第二行線為“0”,其它行線為“1”,延時(shí)2ms左右,</p><p>  如此下去,直到送完最后一列代碼,又從頭開始送。</p><p>  “胡”

13、字向左移動(dòng)一格,如下圖所示:</p><p>  1 2 3 4 5 6 7 8</p><p>  其每列的顯示代碼可由上述代碼循環(huán)左移得到,即:2EH,7AH,2EH,01H,7EH,54H,7FH,00H。</p><p>  “胡”字再次左移,如下圖所示:</p><p>  1 2 3 4

14、5 6 7 8</p><p>  其顯示代碼為:7AH,2EH,01H,7EH,54H,7FH,00H,2EH。</p><p>  “胡”字再次左移,得到下圖:</p><p>  1 2 3 4 5 6 7 8</p><p>  其顯示代碼為:2EH,01H,7EH,54H,7FH,00H,2E

15、H,7AH。</p><p>  “胡”字繼續(xù)左移,得到下圖:</p><p>  1 2 3 4 5 6 7 8</p><p>  其顯示代碼為:01H,7EH,54H,7FH,00H,2EH,7AH,2EH。</p><p>  繼續(xù)左移,得到下圖:</p><p>  1 2

16、 3 4 5 6 7 8</p><p>  其顯示代碼為:7EH,54H,7FH,00H,2EH,7AH,2EH,01H。</p><p><b>  左移,如下圖:</b></p><p>  1 2 3 4 5 6 7 8</p><p>  其顯示代碼為:54

17、H,7FH,00H,2EH,7AH,2EH,01H,7EH。</p><p>  繼續(xù)左移,得到下圖:</p><p>  1 2 3 4 5 6 7 8</p><p>  其顯示代碼為: 7FH,00H,2EH,7AH,2EH,01H,7EH,54H。</p><p><b>  繼續(xù)左移,得到:&

18、lt;/b></p><p>  1 2 3 4 5 6 7 8</p><p>  該圖即還原到了起始圖,顯示代碼為:00H,2EH,7AH,2EH,01H,7EH,54H,7FH。</p><p>  最后,亮的部分熄滅,原本熄滅的都顯示出來(lái),即得下圖:</p><p>  1 2 3 4

19、 5 6 7 8</p><p>  其顯示代碼為:0FFH,0D1H,85H,0D1H,0FEH,81H,0ABH,80H。</p><p>  第3節(jié) 系統(tǒng)的程序調(diào)試</p><p><b>  圖2.4 調(diào)試圖1</b></p><p><b>  圖2.5 調(diào)試圖2</b>&

20、lt;/p><p>  第4節(jié) 系統(tǒng)設(shè)計(jì)的結(jié)果</p><p><b>  4.1 實(shí)驗(yàn)代碼</b></p><p>  #include<reg52.h></p><p>  sbit dula=P2^6;</p><p>  sbit row =P2^5;</p><

21、;p>  unsigned char tabx[]={0x83,0xb7,0xb7,0x00,0xb7,0xb7,0x83,0xff};</p><p>  unsigned char taby[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f};</p><p>  unsigned char t;</p><p>  

22、void delay()</p><p><b>  {</b></p><p>  unsigned char a,b;</p><p>  for(a=10;a>0;a--)</p><p>  for(b=50;b>0;b--);</p><p><b>  }<

23、/b></p><p>  void display()</p><p><b>  {</b></p><p>  P1=~taby[t];</p><p><b>  row=1;</b></p><p><b>  row=0;</b><

24、;/p><p>  P0=tabx[t];</p><p><b>  dula=1;</b></p><p><b>  dula=0;</b></p><p><b>  delay();</b></p><p><b>  //消影程序<

25、;/b></p><p><b>  P0=~0X00;</b></p><p><b>  dula=1;</b></p><p><b>  dula=0;</b></p><p><b>  P1=~0XFF;</b></p>&

26、lt;p><b>  row=1;</b></p><p><b>  row=0;</b></p><p><b>  t++;</b></p><p><b>  if(t==8)</b></p><p><b>  {</b&g

27、t;</p><p><b>  t=0;</b></p><p><b>  }</b></p><p><b>  }</b></p><p>  void main()</p><p><b>  {</b></p&

28、gt;<p><b>  while(1)</b></p><p><b>  {</b></p><p>  display();</p><p><b>  delay();</b></p><p><b>  }</b></p&g

29、t;<p><b>  }</b></p><p>  4.2 實(shí)驗(yàn)結(jié)果截圖</p><p>  第5節(jié) 設(shè)計(jì)評(píng)述及收獲體會(huì)</p><p>  此次的單片機(jī)課程設(shè)計(jì),由自己選定課題、自己上網(wǎng)查閱資料確定硬件電路,通過(guò)借鑒對(duì)比優(yōu)秀程序編制出自己的程序,在單片機(jī)學(xué)習(xí)優(yōu)秀的同學(xué)完成最后調(diào)試,最終完成了此次的單片機(jī)課程設(shè)計(jì)。通過(guò)這次單片

30、機(jī)課程設(shè)計(jì),鍛煉了自己的實(shí)際動(dòng)手能力,提高了自己的編程水平。</p><p>  同時(shí),也暴露了自己在設(shè)計(jì)單片機(jī)最小應(yīng)用系統(tǒng)過(guò)程中出現(xiàn)的一些問(wèn)題:首先,專業(yè)基礎(chǔ)知識(shí)不夠扎實(shí),在確定硬件電路過(guò)程中,復(fù)位電路設(shè)計(jì)錯(cuò)誤。第二,動(dòng)手能力較差,在焊接電路的過(guò)程中,經(jīng)常出現(xiàn)引腳連接錯(cuò)誤的情況。第三,編程水平不高,在最后調(diào)試過(guò)程中,剛開始程序?qū)氩怀晒?,軟件提示錯(cuò)誤,經(jīng)過(guò)檢查發(fā)現(xiàn)有幾處指令格式錯(cuò)誤。另外點(diǎn)陣式LED并未按照預(yù)期

31、顯示,經(jīng)過(guò)檢查,是程序編制錯(cuò)誤。最后,在這次課程設(shè)計(jì)中,暴露出的最大毛病,就是自己粗心大意,電路連接出錯(cuò),程序編制時(shí)也出現(xiàn)錯(cuò)誤。</p><p>  這次的課程設(shè)計(jì),是對(duì)自己這學(xué)期來(lái)所學(xué)知識(shí)的一次檢測(cè),使自己發(fā)現(xiàn)在學(xué)習(xí)過(guò)程中所存在的問(wèn)題,同時(shí)也讓自己意識(shí)到與身邊優(yōu)秀同學(xué)的差距。接下來(lái)不到一年的大學(xué)時(shí)光,更要努力。</p><p><b>  第6節(jié) 參考文獻(xiàn)</b>&

32、lt;/p><p> ?。?]潘松,黃繼業(yè).EDA技術(shù)實(shí)用教程.-3版.-北京:科學(xué)出版社,2006綜合電子設(shè)計(jì)與實(shí)踐,王振紅,清華大學(xué)出版社,2008年9月第2版; </p><p> ?。?]曾繁泰,陳美金.VHDL程序設(shè)計(jì).北京:清華大學(xué)出版社,2000; </p><p>  [3]褚振勇,翁木云.FPGA設(shè)計(jì)及應(yīng)用.西安:西安電子科技大學(xué)出版社,2002 &l

33、t;/p><p>  [4] 綜合電子設(shè)計(jì)與實(shí)踐王振紅清華大學(xué)出版社2008年9月第2版; </p><p>  [5] EDA實(shí)用技術(shù)及應(yīng)用劉艷萍國(guó)防工業(yè)出版社2006年第1版 </p><p>  [6] 基于QuartusII的FPGA/CPLD數(shù)字系統(tǒng)設(shè)計(jì)實(shí)例張麗敏電子工業(yè)出版社2007 </p><p>  [7]

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論