2023年全國(guó)碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩16頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p><b>  單片機(jī)課程設(shè)計(jì)報(bào)告</b></p><p>  第一部分ADI學(xué)習(xí)板簡(jiǎn)介</p><p><b>  一、系統(tǒng)資源</b></p><p>  1、兩路16位ADC輸入、可作差分輸入;</p><p>  2、12位DAC輸出;</p><p>

2、  3、運(yùn)放LM358; </p><p>  4、RS232串口;</p><p>  5、步進(jìn)電機(jī)(5V、70歐、6線4相)接口;</p><p><b>  6、PS/2接口;</b></p><p>  7、插針11,用于選擇PS/2或者紅外接收頭;</p><p><b>  

3、8、紅外接收頭;</b></p><p>  9、線性穩(wěn)壓芯片7805;</p><p>  10、復(fù)位按鍵和下載按鍵;</p><p>  11、開關(guān)以及功能選擇插針1~10(從左至右)</p><p>  12、4X4矩陣式鍵盤,左邊4個(gè)可以作獨(dú)立按鍵;</p><p>  13、直流電源輸入;<

4、/p><p>  14、USB電源輸入接口;</p><p><b>  15、IIC接口;</b></p><p>  16、RTC DS1307;</p><p>  17、EEPROM AT24C08;</p><p><b>  18、8位數(shù)碼管;</b></p&g

5、t;<p><b>  19、SPI接口;</b></p><p>  20、上排圖形點(diǎn)陣LCD12864的接口、</p><p>  下排LCD1602的接口;</p><p><b>  21、紅外二極管;</b></p><p><b>  22、8路LED;</

6、b></p><p><b>  23、蜂鳴器;</b></p><p>  24、P3口擴(kuò)展接口;</p><p>  25、單片機(jī)AduC848;</p><p>  26、P2口擴(kuò)展接口;</p><p>  27、P0口擴(kuò)展接口;</p><p><b&

7、gt;  第二部分 </b></p><p><b>  簡(jiǎn)單電子琴設(shè)計(jì)</b></p><p><b>  一、設(shè)計(jì)任務(wù)及要求</b></p><p><b>  1.1任務(wù)</b></p><p>  設(shè)計(jì)一簡(jiǎn)易電子琴,要求能夠發(fā)出1,2,3,4,5,6,7等7

8、個(gè)音符,具有一般演奏功能。</p><p><b>  2、要求</b></p><p>  1)具有一般演奏功能,利用所給鍵盤的1,2,3,4,5,6,7七個(gè)鍵,能夠發(fā)出7個(gè)不同的音調(diào),并且要求按下按鍵發(fā)聲,松開延時(shí)一段時(shí)間停止,中間再按別的鍵則發(fā)另一個(gè)音調(diào)的聲音</p><p>  2)具有自動(dòng)播放已存曲目功能</p><

9、;p>  3)顯示當(dāng)前正在播放的歌曲的名稱和歌詞</p><p>  1.2 相關(guān)設(shè)計(jì)說明</p><p>  該電子琴設(shè)計(jì)是基于ADuC848開發(fā)板,在設(shè)計(jì)語言方面可用C語言或者硬件語言,兩者相比較,C語言簡(jiǎn)單易懂且本題目對(duì)于反映時(shí)間的要求不是很高,但為了鞏固匯編語言以及更加更加深入了數(shù)據(jù)在微機(jī)中存儲(chǔ),我們選用了匯編語言來編寫。</p><p>  根據(jù)2

10、.1所提出的設(shè)計(jì)要求,主要考慮一下幾個(gè)方面:音節(jié)、按鍵、蜂鳴器的使用。</p><p><b>  1.3 音節(jié)。</b></p><p>  要求用8個(gè)按鍵發(fā)出8個(gè)不音調(diào),即按鍵1、2、3、4、5、6、7、8分別對(duì)應(yīng)音符的1、2、3、4、5、6、7和高音1共八個(gè)音調(diào),音階由不同頻率的方波產(chǎn)生,音階與頻率的關(guān)系如表一所示。方波的頻率由定時(shí)器控制。定時(shí)器計(jì)數(shù)溢出后,產(chǎn)生

11、中斷,將P2.6口取反即得周期方波。</p><p>  (見下表 單位:HZ,X為16進(jìn)制)</p><p>  音的節(jié)拍由延時(shí)子程序?qū)崿F(xiàn)。延時(shí)子程序?qū)崿F(xiàn)基本延時(shí)時(shí)間,節(jié)拍值只能是它的整數(shù)倍。</p><p><b>  1.4 按鍵</b></p><p>  開發(fā)板上按鍵有4行4列共16個(gè),從0--15,從右到左

12、依次為第0列到第3列,其中第0列從上到下依次為1、2、3、4,以此類推,在具體操作時(shí)由P2控制列選,P1控制行選,二者共同決定按下的是哪一個(gè)按鍵,如P2=0x0e時(shí)選中的是第0列,此時(shí)若P1=0x0e則表示選中的是1(在進(jìn)行按鍵檢測(cè)時(shí),程序不斷的掃描端口,當(dāng)檢測(cè)到低電平時(shí)表示該按鍵被按下)。接線時(shí)第0列到第3列依次接P2.0----P2.3,第0行到第3行依次接P1.0----P1.3.</p><p>  具體

13、對(duì)應(yīng)到每個(gè)按鍵,1——8分別對(duì)應(yīng)8個(gè)不同的音節(jié)1——7以及搞8度的1,9——12分別控制控制預(yù)先存儲(chǔ)的4首歌曲:oldboy、songbie、bygh、Music_Code,按下4個(gè)鍵中的一個(gè)時(shí)程序中斷播放所對(duì)應(yīng)的歌曲;按鍵13表示轉(zhuǎn)到時(shí)鐘顯示時(shí)間;0、14、15為無效按鍵,當(dāng)檢測(cè)到其中一個(gè)被按下時(shí)則跳轉(zhuǎn)到主程序繼續(xù)執(zhí)行。</p><p><b>  按鍵輸入 </b></p>

14、<p><b>  該模塊原理如圖所示</b></p><p><b>  圖 按鍵模塊</b></p><p>  由于P1口(用于行掃描)內(nèi)部無上拉電阻,為使無鍵按下時(shí)行信號(hào)為高電平,故將行信號(hào)接上拉電阻,電阻大小10K即可。</p><p><b>  引腳復(fù)用:</b></p

15、><p>  P2.0~P2.3為4X4矩陣式鍵盤列掃描信號(hào),又復(fù)用作步進(jìn)電機(jī)控制信號(hào),SPI信號(hào)、P2.1~P2.3還復(fù)用為數(shù)碼管第5、6、7位位碼控制信號(hào)。參與復(fù)用的一項(xiàng)功能使用時(shí),其他參與復(fù)用的功能則不能使用。</p><p><b>  插針配置: </b></p><p> ?。?)插針10上面兩腳接跳線帽,4X4矩陣式鍵盤輸入,行掃描信

16、號(hào)為P1.0~P1.3,列掃描信號(hào)為P2.0~2.3。</p><p> ?。?)插針10下面兩腳接跳線帽,獨(dú)立按鍵輸入,該模式有4個(gè)獨(dú)立按鍵可用,按鍵掃描所用端口為P1.0~P1.3。這4個(gè)按鍵為4X4矩陣鍵盤的左邊4個(gè)按鍵。</p><p><b>  1.5 程序流程圖</b></p><p><b>  1.6具體程序?qū)崿F(xiàn)&l

17、t;/b></p><p>  ;1.572864MHZ,TC=0.63VS,T=7.6VS</p><p>  STH1 EQU 31H</p><p>  STL1 EQU 30H</p><p>  ST_ADDREQU 0000H</p><p>  ORGST_ADDR</p&g

18、t;<p>  LJMPMAIN</p><p>  ORGST_ADDR+1BH</p><p>  LJMPINT_1</p><p>  MAIN:MOV SP,#40H</p><p>  ANL TMOD,#0F0H</p><p>  ORL TMOD,#10H</p

19、><p><b>  SETB EA</b></p><p><b>  SETB ET1</b></p><p><b>  SETB P2.6</b></p><p>  MOV P1,0F0H; P1低4位寫0,將P1低4位設(shè)為數(shù)字輸入模式,這樣才能讀連接P1的外部數(shù)據(jù)<

20、;/p><p>  LKP: LCALL SAOMIAO;調(diào)掃描程序反鍵值</p><p>  CJNE A,#0AAH,K0</p><p>  CLR P2.6 </p><p><b>  JMP LKP</b></p><p>  K0: CJNE A,#0,K1</p>

21、<p><b>  LCALL TAB</b></p><p><b>  LJMP LKP</b></p><p>  K1: CJNE A,#1,K2</p><p><b>  LCALL TAB</b></p><p><b>  LJMP L

22、KP</b></p><p>  K2: CJNE A,#2,K3</p><p><b>  LCALL TAB</b></p><p><b>  LJMP LKP</b></p><p>  K3: CJNE A,#3,K4</p><p><b&

23、gt;  LCALL TAB</b></p><p><b>  LJMP LKP</b></p><p>  K4: CJNE A,#4,K5</p><p><b>  LCALL TAB</b></p><p><b>  LJMP LKP</b></

24、p><p>  K5: CJNE A,#5,K6</p><p><b>  LCALL TAB</b></p><p><b>  LJMP LKP</b></p><p>  K6: CJNE A,#6,K7</p><p><b>  LCALL TAB<

25、;/b></p><p><b>  LJMP LKP</b></p><p>  K7: CJNE A,#7,K8</p><p>  MOV DPTR,#DUORUIMI</p><p>  LCALL OUTGE</p><p><b>  LJMP LKP</b&g

26、t;</p><p>  K8: CJNE A,#8,K9</p><p>  MOV DPTR,#SHENGRIGE</p><p>  LCALL OUTGE</p><p><b>  LJMP LKP</b></p><p>  K9: CJNE A,#9,KA</p>

27、<p>  MOV DPTR,#LIANGZHILAOHU</p><p>  LCALL OUTGE</p><p><b>  LJMP LKP</b></p><p>  KA: CJNE A,#10,KB</p><p>  MOV DPTR,#JUHUATAI</p><p>

28、;  LCALL OUTGE</p><p><b>  LJMP LKP</b></p><p>  KB: CJNE A,#11,KC</p><p>  MOV DPTR,#JUHUATAI_C</p><p>  LCALL OUTGE</p><p><b>  LJMP L

29、KP</b></p><p>  KC: JMP LKP</p><p><b>  ;查表子程序</b></p><p>  TAB: MOV DPTR,#TABLE</p><p><b>  MOV B,#2</b></p><p><b>  

30、MUL AB</b></p><p><b>  MOV 60H,A</b></p><p>  MOVC A,@A+DPTR</p><p><b>  MOV TH1,A</b></p><p>  MOV STH1,A</p><p><b>  

31、INC 60H</b></p><p><b>  MOV A,60H</b></p><p>  MOVC A,@A+DPTR</p><p><b>  MOV TL1,A</b></p><p>  MOV STL1,A</p><p><b> 

32、 SETB TR1</b></p><p>  MOV R5,#3</p><p>  LOOP0: MOVR7,#0FfH ;延遲0.5s</p><p>  LOOP1:MOVR6,#0FfH</p><p>  LOOP2:DJNZR6,LOOP2</p><p>  DJNZ

33、R7,LOOP1</p><p>  DJNZ R5 , LOOP0</p><p><b>  CLR TR1</b></p><p><b>  RET</b></p><p><b>  ;播放歌曲</b></p><p>  OUTGE:

34、MOVA,#00H</p><p>  LOP:MOVCA,@A+DPTR</p><p><b>  JZMVA</b></p><p>  MOVSTH1,A</p><p>  MOVTH1,A</p><p><b>  INCDPTR</b>&

35、lt;/p><p>  MOVA,#00H</p><p>  MOVCA,@A+DPTR</p><p>  MOVSTL1,A</p><p>  MOVTL1,A</p><p><b>  SETBTR1</b></p><p><b>  I

36、NCDPTR</b></p><p>  MOVA,#00H</p><p>  MOVCA,@A+DPTR</p><p><b>  MOVR2,A</b></p><p>  LOOP3:MOVR3,#0FFH</p><p>  LOOP4:MOVR

37、4,#0FFH</p><p>  LOOP5:DJNZR4,LOOP5</p><p>  DJNZR3,LOOP4</p><p>  DJNZR2,LOOP3</p><p><b>  INCDPTR</b></p><p>  MOVA,#00H</p>&

38、lt;p><b>  LJMPLOP</b></p><p>  MVA: CLR TR1</p><p><b>  RET</b></p><p><b>  ;調(diào)用掃描子程序</b></p><p>  SAOMIAO:ACALL KS1;粗掃</p

39、><p>  JNZ LK1;有按鍵按下,轉(zhuǎn)繼續(xù)細(xì)掃描</p><p>  MOV R4,#0 ;NIU</p><p>  MOV A,#0AAH;返回值A(chǔ)=0F,無按鍵按下</p><p><b>  AJMP RETT</b></p><p>  LK1:ACALL TM10MS;延時(shí)大概10

40、ms,消抖</p><p>  ACALL KS1;延時(shí)后再粗掃描確認(rèn)有按鍵</p><p>  JNZ LK2;有按鍵,轉(zhuǎn)細(xì)掃描</p><p>  AJMP SAOMIAO;無按鍵,是抖動(dòng)</p><p>  ;細(xì)掃描,列內(nèi)掃描,內(nèi)循環(huán)</p><p>  LK2:MOV R2,#0F7H;第0列掃描碼,11110

41、111B,lie0=P2.3=0</p><p>  MOV R4,#00H;行掃描計(jì)數(shù)器初始值,補(bǔ)鍵值差值</p><p>  MOV R3,#04H;列掃描計(jì)數(shù)器初始值</p><p>  LK4:MOV A,R2</p><p>  MOV P2,A;輸出列掃描碼</p><p>  JB P1.0,LONE;不

42、是第0行的鍵被按下,轉(zhuǎn)下一行</p><p>  MOV A,#0;是第0行被按下,鍵值基底=0(還有偏移量)</p><p>  AJMP RETT;轉(zhuǎn)求鍵值</p><p>  LONE:JB P1.1,LTW0;不是第1行的鍵被按下,轉(zhuǎn)下一行</p><p>  MOV A,#4;是第1行被按下,鍵值基底=4(還有偏移量)</p&

43、gt;<p>  AJMP RETT;轉(zhuǎn)求鍵值</p><p>  LTW0:JB P1.2,LTHR</p><p>  MOV A,#8;是第2行被按下,鍵值基底=8(還有偏移量)</p><p>  AJMP RETT;轉(zhuǎn)求鍵值</p><p>  LTHR:JB P1.3,NEXT;4行都掃完,轉(zhuǎn)下一列</p>

44、;<p>  MOV A,#12;是第3行被按下,鍵值基底=12(還有偏移量)</p><p>  AJMP RETT;轉(zhuǎn)求鍵值</p><p>  ;細(xì)掃描,列間掃描,外循環(huán)</p><p>  NEXT:MOV A,R4</p><p>  ADD A,#1;列計(jì)數(shù)器加上1,偏移量</p><p>&

45、lt;b>  MOV R4,A</b></p><p>  MOV A,R2;列掃描碼</p><p>  RR A;右移一位,指向下一列,lie1=P2.2=0</p><p><b>  MOV R2,A</b></p><p>  DJNZ R3,LK4;為掃描完,轉(zhuǎn)回掃描下一列</p>

46、;<p>  ;AJMP SAO;已掃描完四行,未找到按下的鍵</p><p><b>  ;寫最終鍵值</b></p><p>  RETT:ADD A,R4;鍵值基底加上偏移量=鍵值</p><p>  MOV P0,A;鍵送LED顯示</p><p><b>  RET</b>&

47、lt;/p><p><b>  ;粗掃描子程序</b></p><p>  KS1:MOV P2,#0F0H;粗掃描,輸出4列全為'0'</p><p>  MOV A,P1;讀入行信號(hào)</p><p>  ORL A,#0F0H;高位置1,地位不變</p><p><b>

48、  CPL A;取反</b></p><p><b>  RET</b></p><p><b>  ;延時(shí)子程序</b></p><p>  TM10MS: MOV R6,#10;一個(gè)機(jī)器周期是7.63微秒</p><p>  LOOP:MOV R7,#80</p>&l

49、t;p><b>  DJNZ R7,$</b></p><p>  DJNZ R6,LOOP</p><p><b>  RET</b></p><p><b>  ;中斷t1</b></p><p>  ORGST_ADDR+200H</p><

50、p>  INT_1: CLR TR1</p><p>  MOV TH1,STH1</p><p>  MOV TL1,STL1</p><p><b>  SETB TR1</b></p><p><b>  CPL P2.6</b></p><p><b>

51、;  RETI</b></p><p>  TABLE: DB 0FFH,05H,0FFH,20H,0FFH,39H,0FFH,44H,0FFH,58H,0FFH,6BH,0FFH,7EH </p><p>  DUORUIMI: DB 0FCH,2FH,04H,0FCH,99H,04H,0FCH,0F8H,04H,0FDH,22H,04H</p><p&g

52、t;  DB0FDH,073H,04H,0FDH,0BCH,04H,0FDH,0FAH,04H,0FEH,17H,04H</p><p>  DB0FEH,17H,04H,0FDH,0FAH,04H,0FDH,0BCH,04H,0FDH,73H,04H,0FDH,22H,04H</p><p>  DB 0FCH,0F8H,04H,0FCH,99H,04H,0FCH,2FH,04H,

53、00H,00H,00H</p><p>  SHENGRIGE:DB 0FFH,05H,04H,0FFH,05H,01H,0FFH,21H,04H,0FFH,05H,04H,0FFH,44H,04H,0FFH,39H,04H</p><p>  DB 0FFH,05H,04H,0FFH,05H,01H,0FFH,21H,04H,0FFH,05H,04H,0FFH,58H,04H,0FFH,

54、44H,04H</p><p>  DB 0FFH,05H,01H,0FFH,05H,04H,0FFH,82H,04H,0FFH,6BH,04H,0FFH,44H,04H,0FFH,39H,01H,0FFH,21H,04H</p><p>  DB 0FFH,7BH,01H,0FFH,7BH,04H,0FFH,6BH,04H,0FFH,44H,04H,0FFH,58H,04H,0FFH,4

55、4H,04H,00H,00H,00H</p><p>  LIANGZHILAOHU:DB 0FFH,44H,04H,0FFH,58H,04H,0FFH,6BH,04H,0FFH,44H,02H,0FFH,44H,04H,0FFH,58H,04H,0FFH,6BH,04H,0FFH,44H,02H</p><p>  DB 0FFH,6BH,04H,0FFH,7BH,04H,0FFH,82

56、H,06H,0FFH,6BH,04H,0FFH,7BH,04H,0FFH,82H,06H</p><p>  DB 0FFH,82H,02H,0FFH,90H,02H,0FFH,82H,02H,0FFH,7BH,02H,0FFH,6BH,04H,0FFH,44H,04H,0FFH,82H,02H,0FFH,90H,02H,0FFH,82H,02H,0FFH,7BH,02H,0FFH,6BH,04H,0FFH,44

57、H,04H</p><p>  DB 0FFH,58H,04H,0FFH,082H,04H,0FFH,4H,04H,0FFH,58H,04H,0FFH,82H,04H,0FFH,44H,04H,00H,00H,00H</p><p>  JUHUATAI: DB 0FFH,39H,4H,0FFH,39H,2H,0FFH,20H,2H,0FFH,39H,4H,0FFH,39H,2H,0FFH

58、,58H,2H,0FFH,39H,2H,0FFH,20H,2H,0FFH,39H,8H </p><p>  DB 0FFH,5H,4H,0FFH,5H,2H,0FFH,20H,2H,0FFH,39H,2H,0FFH,58H,2H,0FFH,39H,4H,0FFH,20H,4H,0FFH,20H,2H,0FFH,5H,2H,0FFH,20H,8H</p><p>  DB 0FFH,39H

59、,6H,0FFH,58H,1H,0FFH,39H,1H,0FFH,6BH,2H,0FFH,58H,6H</p><p>  DB 0FFH,6BH,2H,0FFH,58H,2H,0FFH,58H,2H,0FFH,39H,2H,0FFH,58H,6H,0FFH,58H,2H,0FFH,39H,4H,0FFH,20H,2H,0FFH,20H,2H</p><p>  DB 0FFH,58H,4

60、H,0FFH,39H,2H,0FFH,20H,2H,0FFH,20H,4H,0FFH,20H,2H,0FFH,5H,2H,0FFH,20H,8H</p><p>  DB 0FFH,39H,4H,0FFH,39H,2H,0FFH,20H,2H,0FFH,39H,8H,0FFH,39H,2H,0FFH,58H,2H,0FFH,39H,2H,0FFH,20H,2H,0FFH,39H,8H</p><

61、;p>  DB 0FFH,5H,4H,0FFH,5H,2H,0FFH,20H,2H,0FFH,39H,2H,0FFH,58H,2H,0FFH,39H,4H,0FFH,20H,4H,0FFH,20H,2H,0FFH,5H,2H,0FFH,20H,8H</p><p>  DB 0FFH,39H,6H,0FFH,58H,1H,0FFH,39H,1H,0FFH,6BH,2H,0FFH,58H,6H,0FFH,6B

62、H,2H,0FFH,58H,2H,0FFH,58H,2H,0FFH,39H,2H,0FFH,58H,8H</p><p>  DB 0FFH,39H,4H,0FFH,20H,2H,0FFH,39H,2H,0FFH,58H,4H,0FFH,39H,2H,0FFH,20H,2H,0FFH,20H,4H,0FFH,5H,8H,00H,00H,00H</p><p>  JUHUATAI_C: D

63、B 0FFH,39H,8H,0FFH,39H,4H,0FFH,20H,4H,0FFH,39H,8H,0FFH,39H,4H,0FFH,58H,4H,0FFH,39H,4H,0FFH,20H,4H,0FFH,39H,16H </p><p>  DB 0FFH,5H,8H,0FFH,5H,4H,0FFH,20H,4H,0FFH,39H,4H,0FFH,58H,4H,0FFH,39H,8H,0FFH,20H,8H,0

64、FFH,20H,4H,0FFH,5H,4H,0FFH,20H,16H</p><p>  DB 0FFH,39H,12H,0FFH,58H,2H,0FFH,39H,2H,0FFH,6BH,4H,0FFH,58H,6H</p><p>  DB 0FFH,6BH,4H,0FFH,58H,4H,0FFH,58H,4H,0FFH,39H,4H,0FFH,58H,12H,0FFH,58H,4H,0

65、FFH,39H,8H,0FFH,20H,4H,0FFH,20H,4H</p><p>  DB 0FFH,58H,8H,0FFH,39H,4H,0FFH,20H,4H,0FFH,20H,8H,0FFH,20H,4H,0FFH,5H,4H,0FFH,20H,16H,00H,00H,00H </p><p>  END

66、 </p><p><b>  }</b></p><p><b>  1.7硬件連接圖</b></p><p><b>  1.8復(fù)位與下載 </b></p><p>  復(fù)位與下載電路如圖1.14所示。</p><p>  圖1.14 復(fù)位

67、與下載</p><p>  進(jìn)入下載或調(diào)試模式的步驟為: </p><p>  (1)按住BTN1(down or debug按鍵)不要放開; </p><p>  (2)按下BTN2(reset按鍵),然后放開BTN2(reset按鍵);</p><p> ?。?)放開BTN1(down or debug按鍵)。</p>&l

68、t;p>  這樣單片機(jī)就進(jìn)入了調(diào)試模式,可以下載或調(diào)試程序。</p><p><b>  1.9按鍵功能簡(jiǎn)介</b></p><p>  (1)鍵盤的1,2,3,4,5,6,7七個(gè)鍵,能夠發(fā)出7個(gè)不同的音調(diào),并且要求按下按鍵發(fā)聲,松開延時(shí)一段時(shí)間停止,中間再按別的鍵則發(fā)另一個(gè)音調(diào)的聲音。</p><p> ?。?)自動(dòng)播放已存曲目功能&l

69、t;/p><p><b>  8-----哆</b></p><p><b>  9-----生日歌</b></p><p>  10----兩只老虎-</p><p>  11----菊花臺(tái)(快節(jié)奏)</p><p>  12----菊花臺(tái)(慢節(jié)奏)</p>&l

70、t;p>  第三部分 課程設(shè)計(jì)心得</p><p>  這次課程設(shè)計(jì)我們以編寫程序?yàn)橹?,沒有對(duì)開發(fā)板進(jìn)行相應(yīng)的設(shè)計(jì)和焊接。我們以兩人為一組,在一起相互討論各抒己見,有時(shí)候爭(zhēng)論的面紅耳赤但覺得很有意義。在兩周的時(shí)間里除增長(zhǎng)程序設(shè)計(jì)的知識(shí)水平,更加有效地培養(yǎng)了自己的團(tuán)隊(duì)合作精神,小組兩個(gè)人分工明確相互合作,盡自己最大努力完成課題的實(shí)現(xiàn),使我對(duì)嵌入式系統(tǒng)論論知識(shí)有了更加深入的了解。在實(shí)際操作過程中解決了一些課堂學(xué)

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論