2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩29頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p><b>  前 言</b></p><p>  單片機(jī)在電子產(chǎn)品中的應(yīng)用已經(jīng)越來越廣泛,在很多的電子產(chǎn)品中也用到了壓力檢測(cè)和壓力控制。隨著壓力控制器應(yīng)用范圍的日益廣泛和多樣性,各種適用于不同場(chǎng)合的智能壓力控制器應(yīng)運(yùn)而生。數(shù)字壓力計(jì)的出現(xiàn),給人類的生活帶來了很多方便,使人類不管是在生活還是在工業(yè)方面都有了很多便利之處。但是數(shù)字壓力計(jì)主要應(yīng)用還是在生產(chǎn)過程、實(shí)驗(yàn)室及研究所。數(shù)

2、字壓力計(jì)本身可由電源提供電壓,用數(shù)字壓力傳感器檢測(cè)壓力,因此數(shù)字壓力計(jì)屬于壓力系統(tǒng)。控制理論從經(jīng)典理論、現(xiàn)代理論已經(jīng)發(fā)展到更先進(jìn)的控制理論,控制系統(tǒng)也由簡(jiǎn)單的控制系統(tǒng)、大系統(tǒng)發(fā)展到今天的復(fù)雜系統(tǒng)。本文討論的數(shù)字壓力計(jì)壓力控制系統(tǒng) 89C51單片機(jī)提取PCF8591轉(zhuǎn)化成壓力變化通過單片機(jī)內(nèi)部A/D轉(zhuǎn)化電路轉(zhuǎn)化成數(shù)值并由數(shù)字顯示電路顯示出來。</p><p>  在我們?nèi)粘I罴案鞣N生產(chǎn)中,經(jīng)常要用到壓力的檢測(cè)及控

3、制,傳統(tǒng)的測(cè)壓元件有電阻應(yīng)變片等。而電阻應(yīng)變片測(cè)出的一般都是電壓,再轉(zhuǎn)換成對(duì)應(yīng)的壓力,需要比較多的外部硬件支持。其缺點(diǎn)如下:</p><p>  1. 硬件電路復(fù)雜;</p><p>  2. 軟件調(diào)試復(fù)雜;</p><p><b>  3. 制作成本高。</b></p><p>  而傳統(tǒng)的壓力計(jì)也有反應(yīng)速度慢、讀數(shù)麻

4、煩、測(cè)量精度不高、誤差大等缺點(diǎn)而下面利用集成溫度傳感器PCF8591設(shè)計(jì)并制作了一款基于 89C51的4位數(shù)碼管顯示的數(shù)字溫度計(jì),其電路簡(jiǎn)單,軟硬件結(jié)構(gòu)模塊化,易于實(shí)現(xiàn)。其中壓力傳感器PCF8591,它集壓力測(cè)量、A/D轉(zhuǎn)換于一體 ,PCF8591是一款具有I2C總線結(jié)構(gòu)的器件。 </p><p>  由PCF8591組建的壓力測(cè)量單元體積小,便于攜帶、安裝。同時(shí),PCF8591的輸出為數(shù)字量,可以直接與單片機(jī)連

5、接,無需后級(jí)A/D轉(zhuǎn)換,控制簡(jiǎn)單。</p><p>  第一章 總體設(shè)計(jì)方案</p><p>  1.1 系統(tǒng)的設(shè)計(jì)原則 </p><p>  一般系統(tǒng)的設(shè)計(jì)原則包含安全性(穩(wěn)定抗干擾性),操作的便利性(人性化),實(shí)時(shí)性,通用性和經(jīng)濟(jì)性。</p><p><b> ?。?)安全可靠 </b></p>&

6、lt;p>  首先要選用高性能的 89C51單片機(jī),保證在惡劣的工業(yè)環(huán)境下能正常運(yùn)行。其次是設(shè)計(jì)可靠的控制方案,并具有各種安全保護(hù)措施,如報(bào)警、事故預(yù)測(cè)、事故處理和不間斷電源等。</p><p><b> ?。?)操作維護(hù)方便</b></p><p>  操作方便表現(xiàn)在操作簡(jiǎn)單、直觀形象和便于掌握且不強(qiáng)求操作工要掌握計(jì)算機(jī)知識(shí)才能操作。</p>&

7、lt;p><b> ?。?)實(shí)時(shí)性強(qiáng)</b></p><p>  選用高性能的 89C51單片機(jī)的實(shí)時(shí)性,表現(xiàn)在內(nèi)部和外部事件能及時(shí)地響應(yīng),并做出相應(yīng)的處理。</p><p><b>  (4)通用性好 </b></p><p>  系統(tǒng)設(shè)計(jì)時(shí)應(yīng)考慮能適應(yīng)不同的設(shè)備和各種不同設(shè)備和各種不同控制對(duì)象,并采用積木式結(jié)構(gòu),

8、按照控制要求靈活構(gòu)成系統(tǒng)。主要表現(xiàn)在兩個(gè)方面:一是硬件板設(shè)計(jì)采用標(biāo)準(zhǔn)總線結(jié)構(gòu)(如PC總線),配置各種通用的模板,以便擴(kuò)充功能時(shí),只需增加功能模板就能實(shí)現(xiàn);二是軟件功能模塊或控制算法采用標(biāo)準(zhǔn)模塊結(jié)構(gòu),用戶使用時(shí)不需要二次開發(fā),只需各種功能模塊,靈活地進(jìn)行控制系統(tǒng)組態(tài)。</p><p><b>  (5)經(jīng)濟(jì)效益高 </b></p><p>  1.2 系統(tǒng)的設(shè)計(jì)步驟

9、</p><p>  (1)系統(tǒng)總體方案設(shè)計(jì)、</p><p> ?。?)方案論證評(píng)審 </p><p>  硬件和軟件的分別細(xì)化設(shè)計(jì) </p><p>  硬件和軟件的分別調(diào)試 </p><p><b>  系統(tǒng)的組裝 </b></p><p> ?。?)離線仿真和調(diào)試階

10、段 </p><p>  1.3 系體的總體方案設(shè)計(jì)和框圖設(shè)計(jì)</p><p>  (1)系統(tǒng)的主要功能、技術(shù)指標(biāo)、原理性方框及文字說明。</p><p>  (2)系統(tǒng)的硬件結(jié)構(gòu)幾配置,主要軟件的功能、結(jié)構(gòu)框圖。</p><p> ?。?)保證性能指標(biāo)要求的技術(shù)措施。</p><p> ?。?)抗干擾性和可靠性設(shè)

11、計(jì)。</p><p><b> ?。?)工藝要求</b></p><p><b>  壓力數(shù)碼管顯示。</b></p><p>  調(diào)節(jié)壓力的超調(diào)量小于30%。 </p><p>  供電電壓:交流5V。 </p><p>  方案一:考慮到用壓力傳感器,在單片機(jī)電路

12、設(shè)計(jì)中,大多都是使用傳感器,所以這是非常容易想到的,所以可以使用電阻應(yīng)變片之類的器件利用其感壓力效應(yīng),感壓力電路比較麻煩,進(jìn)行A/D轉(zhuǎn)換,才可以滿足設(shè)計(jì)要求。</p><p>  方案二:由于本設(shè)計(jì)是測(cè)壓力電路,首先要選用高性能的AT89C51單片機(jī),保證在惡劣的工業(yè)環(huán)境下能正常運(yùn)行。單片機(jī)AT89C51具有低電壓供電和體積小等特點(diǎn),四個(gè)端口只需要兩個(gè)口就能滿足電路系統(tǒng)的設(shè)計(jì)需要,很適合便攜手持式產(chǎn)品的設(shè)計(jì)使用系

13、統(tǒng)可用二節(jié)電池供電。測(cè)溫傳感器使用二極管結(jié)電壓變化的數(shù)值來轉(zhuǎn)化成溫度的變化,在將隨被測(cè)溫度變化的S電壓或電流采集過來,進(jìn)行A/D轉(zhuǎn)換后,就可以用單片機(jī)進(jìn)行數(shù)據(jù)的處理,在顯示電路上,就可以將被測(cè)溫度顯示出來。</p><p>  從以上兩種方案,很容易看出,采用方案二,電路比較簡(jiǎn)單,軟件設(shè)計(jì)也比較簡(jiǎn)單,故采用了方案二。</p><p>  考慮到方案中制作數(shù)字溫度計(jì),在單片機(jī)電路設(shè)計(jì)中,大多

14、都是使用傳感器,這是非常容易想到的,所以可以采用一只溫度傳感器DS18B20,此傳感器,可以很容易直接讀取被測(cè)溫度值,進(jìn)行轉(zhuǎn)換,就可以滿足設(shè)計(jì)要求。</p><p>  溫度計(jì)電路設(shè)計(jì)總體設(shè)計(jì)方框圖如圖1所示,控制器采用單片機(jī)AT89C51,壓力傳感器采用PCF8591,用4位LED數(shù)碼管以串口傳送數(shù)據(jù)實(shí)現(xiàn)壓力顯示。</p><p>  圖1.1  總體設(shè)計(jì)方框圖</p>&

15、lt;p><b>  第二章 硬件設(shè)計(jì)</b></p><p><b>  2.1主要器件說明</b></p><p>  2.1.1 主控制器 </p><p>  單片機(jī)AT89S51具有低電壓供電和體積小等特點(diǎn),四個(gè)端口只需要兩個(gè)口就能滿足電路系統(tǒng)的設(shè)計(jì)需要,很適合便攜手持式產(chǎn)品的設(shè)計(jì)使用系統(tǒng)可用二節(jié)電池供

16、電。如圖2.1所示。</p><p> ?。?)主要特性:·與MCS-51 兼容 ·4K字節(jié)可編程閃爍存儲(chǔ)器 壽命:1000寫/擦循環(huán)·全靜態(tài)工作:0Hz-24Hz·三級(jí)程序存儲(chǔ)器鎖定·128*8位內(nèi)部RAM·32可編程I/O線·兩個(gè)16位定時(shí)器/計(jì)數(shù)器·5個(gè)中斷源 ·可編程串行通道·低功耗的

17、閑置和掉電模式·片內(nèi)振蕩器和時(shí)鐘電路 </p><p>  (2)管腳說明:     VCC:供電電壓。     GND:接地。     P0口:P0口為一個(gè)8位漏級(jí)開路雙向I/O口,每腳可吸收8TTL門電流。當(dāng)P1口的管腳第一次寫1時(shí),被定義為高阻輸入。P0能夠用于外部程序數(shù)

18、據(jù)存儲(chǔ)器,它可以被定義為數(shù)據(jù)/地址的第八位。在FIASH編程時(shí),P0 口作為原碼輸入口,當(dāng)FIASH進(jìn)行校驗(yàn)時(shí),P0輸出原碼,此時(shí)P0外部必須被拉高。     P1口:P1口是一個(gè)內(nèi)部提供上拉電阻的8位雙向I/O口,P1口緩沖器能接收輸出4TTL門電流。P1口管腳寫入1后,被內(nèi)部上拉為高,可用作輸入,P1口被外部下拉為低電平時(shí),將輸出電流,這是由于內(nèi)部上拉的緣故。在FLASH編程和校驗(yàn)時(shí),P1口

19、作為第八位地址接收。      P2口:P2口為一個(gè)內(nèi)部上拉電阻的8位雙向I/O口,P2口緩沖器可接收,輸出4個(gè)TTL門電流,當(dāng)P2口被寫“1”時(shí),其管腳被內(nèi)部上拉電阻拉高,且作為輸入。并因此作為輸入時(shí),P2口的管腳被外部拉低,將輸出電流。這是由于內(nèi)部上拉的緣故。P2口當(dāng)用于外部程序存儲(chǔ)器或16位地址外部數(shù)據(jù)存儲(chǔ)器進(jìn)行存取時(shí),P2口輸出地址的高八位。在給出地址“1”時(shí),它</p>

20、<p>  EA/VPP:當(dāng)/EA保持低電平時(shí),則在此期間外部程序存儲(chǔ)器(0000H-FFFFH),不管是否有內(nèi)部程序存儲(chǔ)器。注意加密方式1時(shí),/EA將內(nèi)部鎖定為RESET;當(dāng)/EA端保持高電平時(shí),此間內(nèi)部程序存儲(chǔ)器。在FLASH編程期間,此引腳也用于施加12V編程電源(VPP)。XTAL1:反向振蕩放大器的輸入及內(nèi)部時(shí)鐘工作電路的輸入。XTAL2:來自反向振蕩器的輸出。</p><p>  2.1

21、.2 LED顯示器概述</p><p>  LED是Light Emiting Diode (發(fā)光二極管)的縮寫,發(fā)光二極管是能將電信號(hào)轉(zhuǎn)換成光信號(hào)的電致發(fā)光器件。由條形發(fā)光二極管組成“8”字形的LED顯示器,也稱數(shù)碼管。</p><p>  通過數(shù)碼管中的發(fā)光二極管的亮暗組合,可以顯示多組數(shù)字、字母以及其他符號(hào)。</p><p>  數(shù)碼管能夠被廣泛應(yīng)用與其具有的

22、許多特點(diǎn)是分布開的,其中包括:</p><p>  發(fā)光響應(yīng)快,亮度強(qiáng),高頻特性好;而且隨著材料的不同,數(shù)碼管還能發(fā)出紅、黃、綠、藍(lán)、橙等多種顏色。</p><p>  機(jī)械性能好,體積小,重量輕,價(jià)格低廉;能與COMS和TTL電路配合使用;使用壽命長。</p><p>  工作電壓低,驅(qū)動(dòng)電流適中。每段工作電流為5-1MA,一只數(shù)碼管的7段LED全亮需要電流為35

23、-70MA。這樣大的電流需要由驅(qū)動(dòng)電路來提供,因此,使用時(shí)要注意數(shù)碼管的驅(qū)動(dòng)問題。</p><p>  在使用中,為了給發(fā)光二極管加驅(qū)動(dòng)電壓,它們應(yīng)有一個(gè)公共引腳,公共引腳共有如下兩種接法:</p><p>  共陰極接法。把發(fā)光二極管的陰極連在一起構(gòu)成陰極公共引腳,使用時(shí)陰極公共陰極接地,這樣陽極上加高電平的發(fā)光二極管就導(dǎo)通點(diǎn)亮,而加低電平則不亮。</p><p>

24、;  共陽極接法。把發(fā)光二極管的陽極連在一起構(gòu)成陽極公共引腳,使用時(shí)陽極公共陽極接+5V,這樣陰極上加低電平的發(fā)光二極管就導(dǎo)通點(diǎn)亮,而加高電平則不亮。</p><p><b>  LED 顯示器原理</b></p><p><b>  段碼</b></p><p>  所謂段碼就是為數(shù)碼管顯示提供的各段狀態(tài)組合,即字形代碼

25、。7段數(shù)碼管的段碼為7位,8段數(shù)碼管的段碼為8位,用一個(gè)半字節(jié)即可表示。在段碼字節(jié)中代碼位與發(fā)光二極管的對(duì)應(yīng)關(guān)系如下:</p><p>  段碼的值與數(shù)碼管的公共引腳的接法有關(guān)。以8段數(shù)碼管為例,顯示十六進(jìn)制的段碼值如下表所示。</p><p><b>  十六進(jìn)制數(shù)段碼表</b></p><p>  2. LED 顯示器動(dòng)態(tài)顯示方式</

26、p><p>  并排使用的多位數(shù)碼管稱為LED顯示器。LED顯示器多采用動(dòng)態(tài)顯示方式,全部數(shù)碼管共用一套段碼驅(qū)動(dòng)電路,各位數(shù)碼管的同段引腳短接后再接到對(duì)應(yīng)段碼的驅(qū)動(dòng)線上。顯示時(shí)通過位控信號(hào)采用掃描的方法逐位的循環(huán)點(diǎn)亮各位數(shù)碼管。動(dòng)態(tài)顯示雖然在任一時(shí)刻只有一位數(shù)碼管被點(diǎn)亮,但是由于人眼的具有的視覺殘留效應(yīng),看起來與全部數(shù)碼管持續(xù)點(diǎn)亮的效果完全一樣。動(dòng)態(tài)顯示如下圖所示。</p><p><b

27、>  LED 顯示器接口</b></p><p>  為了實(shí)現(xiàn)LED顯示器的動(dòng)態(tài)顯示,需要給數(shù)碼管提供段碼和位碼,因此,要用到接口芯片的兩個(gè)數(shù)據(jù)口,一個(gè)用于輸出8位段碼(帶小數(shù)點(diǎn)顯示),另一個(gè)用于輸出位碼,位碼的位數(shù)等于數(shù)碼管的個(gè)數(shù)。</p><p>  8255 實(shí)現(xiàn)LED顯示器接口</p><p>  是使用8255作6位的LED顯示器的接口電

28、路。其中PC口為位碼輸出口,以PC5-PC0 輸出位控線。由于位控線的驅(qū)動(dòng)電流較大,因此,PC口輸出加接74LS06進(jìn)行反相并提高驅(qū)動(dòng)能力。PA口為段碼輸出口,各段碼線的負(fù)載電流約為8MA,為提高顯示亮度,加接74LS244進(jìn)行段控輸出驅(qū)動(dòng)。</p><p>  使用8255作LED顯示器接口,8255只能輸出顯示段碼而不具有控制功能,動(dòng)態(tài)控制要靠程序?qū)崿F(xiàn)。對(duì)此有以下兩點(diǎn)說明。</p><p&

29、gt;  為了存放段碼,通常要在80C51的內(nèi)部RAM中設(shè)置一個(gè)顯示緩沖區(qū),存儲(chǔ)單元個(gè)數(shù)與LED顯示器的位數(shù)相同,一個(gè)單元對(duì)應(yīng)一個(gè)顯示位。例如本例中有6個(gè)數(shù)碼管,顯示緩沖區(qū)就應(yīng)該有6個(gè)單元,假定存儲(chǔ)單元地址為79H-7EH,與LED顯示位的對(duì)應(yīng)關(guān)系為:</p><p>  假設(shè)動(dòng)態(tài)顯示是從右向左進(jìn)行的,則緩沖區(qū)的首地址為79H。每顯示一位,就到對(duì)應(yīng)的單元讀取段碼。</p><p>  2.

30、 為了保證顯示亮度,在掃描過程中,應(yīng)在每一位數(shù)碼管上都駐留一段時(shí)間(約1MS)以使數(shù)碼管穩(wěn)定的點(diǎn)亮一段時(shí)間,以保證其顯示亮度。為此在掃描過程中,位與位之間要加進(jìn)一段時(shí)間延遲。</p><p>  顯示電路采用3位共陽LED數(shù)碼管,利用動(dòng)態(tài)掃描方式,從P0口輸出段碼,P2口的P2.5、P2.6、P2.7輸出位碼。</p><p> ?。?)LED數(shù)碼有共陽和共陰兩種,把這些LED發(fā)光二極管的

31、正極接到一塊(一般是拼成一個(gè)8字加一個(gè)小數(shù)點(diǎn))而作為一個(gè)引腳,為共陽管。如下圖2.2、2.3所示:</p><p>  圖2.2 圖2.3</p><p>  A/D和D/A轉(zhuǎn)換器具 PCF8591</p><p><b>  1.特性</b><

32、/p><p><b>  【1】單獨(dú)供電 </b></p><p>  【2】PCF8591的操作電壓范圍2.5V-6V </p><p><b>  【3】低待機(jī)電流 </b></p><p>  【4】通過I2C總線串行輸入/輸出 </p><p>  【5】PCF8591通

33、過3個(gè)硬件地址引腳尋址 </p><p>  【6】PCF8591的采樣率由I2C總線速率決定 </p><p>  【7】4個(gè)模擬輸入可編程為單端型或差分輸入 </p><p>  【8】自動(dòng)增量頻道選擇 </p><p>  【9】PCF8591的模擬電壓范圍從VSS到VDD </p><p>  【10】PCF8

34、591內(nèi)置跟蹤保持電路 </p><p>  【11】8-bit逐次逼近A/D轉(zhuǎn)換器 </p><p>  【12】通過1路模擬輸出實(shí)現(xiàn)DAC增益 </p><p><b>  2、應(yīng)用</b></p><p><b>  . 閉環(huán)控制系統(tǒng)</b></p><p>  . 用

35、于遠(yuǎn)程數(shù)據(jù)采集的低功耗轉(zhuǎn)換器</p><p><b>  . 電池供電設(shè)備</b></p><p>  . 在汽車、音響和TV應(yīng)用方面的模擬數(shù)據(jù)采集</p><p><b>  3、概述</b></p><p>  PCF8591是一個(gè)單片集成、單獨(dú)供電、低功耗、8-bit CMOS數(shù)據(jù)獲取器件。P

36、CF8591具有4個(gè)模擬輸入、1個(gè)模擬輸出和1個(gè)串行I2C總線接口。PCF8591的3個(gè)地址引腳A0, A1和A2可用于硬件地址編程,允許在同個(gè)I2C總線上接入8個(gè)PCF8591器件,而無需額外的硬件。在PCF8591器件上輸入輸出的地址、控制和數(shù)據(jù)信號(hào)都是通過雙線雙向I2C總線以串行的方式進(jìn)行傳輸。 </p><p><b>  4. 命令信息</b></p><p&g

37、t;<b>  5內(nèi)部框圖</b></p><p><b>  內(nèi)部框圖</b></p><p><b>  6. 引腳</b></p><p>  PCF8591引腳圖</p><p><b>  7、功能描述</b></p><p&

38、gt;<b>  7. 1地址</b></p><p>  I2C總線系統(tǒng)中的每一片PCF8591通過發(fā)送有效地址到該器件來激活,該地址包括固定部分和可編程部分??删幊滩糠直仨毟鶕?jù)地址引腳A0. A1和A2來設(shè)置。在I2C總線協(xié)議中地址必須是起始條件作為第一個(gè)字節(jié)發(fā)送。地址字節(jié)的最后一位是用于設(shè)置以后數(shù)據(jù)傳輸方向的讀寫位。(見圖3)</p><p><b>

39、  圖3 地址</b></p><p><b>  7.2 控制字</b></p><p>  發(fā)送到PCF8591的第二個(gè)字節(jié)將被存儲(chǔ)在控制寄存器,用于控制器件功能,控制寄存器的高半字節(jié)用于容許模擬輸出,和將模擬輸入編程為單端或差分輸入。低半字節(jié)選擇一個(gè)由高半字節(jié)定義的模擬輸入通道。如果自動(dòng)增量(auto-increment)標(biāo)志置1,每次AD轉(zhuǎn)換后通道

40、號(hào)將自動(dòng)增加。</p><p>  如果自動(dòng)增量(auto-increment)模式是使用內(nèi)部振蕩器的應(yīng)用中所需要的,那么控制字節(jié)中模擬輸出容許標(biāo)志應(yīng)置1.這要求內(nèi)部振蕩器持續(xù)運(yùn)行,因此要防止振蕩器啟動(dòng)延時(shí)的轉(zhuǎn)換錯(cuò)誤結(jié)果。模擬輸出容許標(biāo)志可以在其他時(shí)候復(fù)位以減少靜態(tài)功耗。、</p><p>  選擇一個(gè)不存在的輸入通道將導(dǎo)致分配最高可用的通道號(hào)。所以,如果自動(dòng)增量(auto-increme

41、nt)被置1,下一個(gè)被選擇的通道將總是通道0.兩個(gè)半字節(jié)的最高有效位(即第7位和第3位)是留給未來的功能,必須設(shè)置為邏輯0.控制寄存器的所有位在上電復(fù)位后被復(fù)位為邏輯0.DA轉(zhuǎn)換器和振蕩器在節(jié)能時(shí)被禁止。模擬輸出被切換到高阻態(tài)。</p><p><b>  7.3 DA轉(zhuǎn)換</b></p><p>  發(fā)送給PCF8591的第三個(gè)字節(jié)被存儲(chǔ)到DAC數(shù)據(jù)寄存器,并使用片

42、上DA轉(zhuǎn)換器轉(zhuǎn)換成對(duì)應(yīng)的模擬電壓。這個(gè)DA轉(zhuǎn)換器由連接至外部的參考電壓的具有256個(gè)接頭的電阻分壓電路和選擇開關(guān)組成。接頭譯碼器切換一個(gè)接頭至DAC輸出線</p><p>  模擬輸出電壓由自動(dòng)清零單位增益放大器緩沖。這個(gè)緩沖放大器可通過設(shè)置控制寄存器的模擬輸出容許標(biāo)志來開戶或關(guān)閉。在激活狀態(tài),輸出電壓將保持到新的數(shù)據(jù)字節(jié)被發(fā)送。</p><p><b>  DAC電阻電路<

43、;/b></p><p>  片上DA轉(zhuǎn)換器也可用于逐次逼近AD轉(zhuǎn)換.為釋放用于AD轉(zhuǎn)換周期的DAC,單位增益放大器還配備了一個(gè)跟蹤和保持電路。在執(zhí)行AD轉(zhuǎn)換時(shí)該電路保持輸出電壓。</p><p><b> ?。罚?AD轉(zhuǎn)換</b></p><p> ?。粒霓D(zhuǎn)換器采用逐次逼近轉(zhuǎn)換技術(shù),在AD轉(zhuǎn)換周期將臨時(shí)使用片上DA轉(zhuǎn)換器和高增益比較器

44、。一個(gè)AD轉(zhuǎn)換周期總是開始于發(fā)送一個(gè)有效模式地址給PCF8591之后,AD轉(zhuǎn)換周期在應(yīng)搭時(shí)鐘脈沖的后沿觸發(fā),所選通道的輸入電壓采樣保存到芯片并被轉(zhuǎn)換為對(duì)應(yīng)的8位二進(jìn)制碼。</p><p>  取自差分輸入的采樣將被轉(zhuǎn)換為對(duì)應(yīng)的8位二進(jìn)制碼。轉(zhuǎn)換結(jié)果被保存在ADC數(shù)據(jù)寄存器等待傳輸。如果自動(dòng)增量標(biāo)志被置1.將選擇下一個(gè)通道。</p><p>  在讀周期傳輸?shù)牡谝粋€(gè)字節(jié)包含前一個(gè)讀周期的轉(zhuǎn)換

45、結(jié)果代碼。以上電復(fù)位之后讀取的第一個(gè)字節(jié)是0X80,I2C總線協(xié)議的讀周期如圖所示。</p><p>  最高AD轉(zhuǎn)換速率取決于實(shí)際的I2C總線速度。</p><p><b>  7.5 參考電壓</b></p><p>  對(duì)DA和AD轉(zhuǎn)換,穩(wěn)定的參考電壓和電源電壓必須提供給電阻分壓電路(引腳VREF和AGND)。</p>&l

46、t;p>  AGND引腳必須連接到系統(tǒng)模擬地,并應(yīng)該有一個(gè)參考VSS的直流偏置。</p><p>  低頻可應(yīng)用于VREF和AGND引腳,這容許DA轉(zhuǎn)換器作為一象限乘法器使用;AD轉(zhuǎn)換器也可以用作一個(gè)或兩個(gè)象限的模擬除法。模擬輸入電壓除以參考電壓。其結(jié)果是被轉(zhuǎn)換為二進(jìn)制碼。在這種應(yīng)用中,用戶必須保持在轉(zhuǎn)換周期的參考電壓穩(wěn)定。</p><p><b>  7.6 振蕩器<

47、;/b></p><p>  片上振蕩器產(chǎn)生AD轉(zhuǎn)換周期和刷新自動(dòng)清零緩沖放大器需要的時(shí)鐘信號(hào)。在使用這個(gè)振蕩器時(shí)EXT引腳必須連接到VSS,在OSC引腳振蕩頻率是可用的。</p><p>  如果EXT引腳被送到VDD,振蕩輸出OSC將切換到高阻態(tài)以容許用戶連接外部時(shí)鐘信號(hào)至OSC</p><p><b>  8.C總線的特性</b>&

48、lt;/p><p> ?。桑玻每偩€是不同的IC或模塊之間的雙向兩線通信。這兩條線是穿行數(shù)據(jù)線(SDA)和串行時(shí)鐘線(SCL)。這兩條線必須通過上拉電路連接至上電源。數(shù)據(jù)傳輸只能在總線不忙時(shí)啟動(dòng)。</p><p><b>  8.1位傳輸</b></p><p>  一個(gè)數(shù)據(jù)位在每一個(gè)時(shí)鐘脈沖期間傳輸。SDA線上的數(shù)據(jù)必須在時(shí)鐘脈沖的高電壓期間保持穩(wěn)

49、定,這個(gè)數(shù)據(jù)線上的改變將被當(dāng)作控制信號(hào)。</p><p><b>  位傳輸</b></p><p>  8 .2 開始或停止條件</p><p>  數(shù)據(jù)和時(shí)鐘線在總線不忙時(shí)保持高電平。在時(shí)鐘為高電平時(shí),數(shù)據(jù)線上的一個(gè)由高到低的變化被定義為開始條件。時(shí)鐘為高電平時(shí),數(shù)據(jù)線上的一個(gè)由低到高的變化被定義為停止條件。</p><

50、p><b>  開始和停止條件定義</b></p><p><b>  8 .3 系統(tǒng)配置</b></p><p>  產(chǎn)生信息的器件稱作“發(fā)送機(jī)”,接收信息的器件稱作“接收機(jī)”??刂菩畔⒌钠骷Q作“主機(jī)”,被控制的器件稱作“從機(jī)”。</p><p><b>  系統(tǒng)配置</b></p&g

51、t;<p><b>  8 .4 應(yīng)答</b></p><p>  在開始和停止條件之間從發(fā)送機(jī)傳送到接收機(jī)的數(shù)據(jù)字節(jié)數(shù)是沒有限制的,每個(gè)8位數(shù)據(jù)字節(jié)之后緊跟著一個(gè)應(yīng)答位。應(yīng)答位是由發(fā)送機(jī)放在總線的一個(gè)高電平,而主機(jī)他產(chǎn)生一個(gè)額外的與應(yīng)答有關(guān)的時(shí)鐘脈沖。地址匹配的從接收機(jī)必須在接收每個(gè)字節(jié)后產(chǎn)生一個(gè)應(yīng)答。然而主機(jī)在接收到每個(gè)已經(jīng)被從發(fā)送機(jī)終止的字節(jié)后必須產(chǎn)生一個(gè)應(yīng)答,在應(yīng)答時(shí)鐘

52、脈沖期間,應(yīng)答的器件必須將SDA線拉低,因此在應(yīng)答相應(yīng)的時(shí)鐘脈沖的高電平期間,SDA線必須保持穩(wěn)定的低電平。在由從機(jī)終止的最后一個(gè)字節(jié),主接收機(jī)必須通過產(chǎn)生一個(gè)低電平應(yīng)答向發(fā)送機(jī)發(fā)出一個(gè)數(shù)據(jù)結(jié)束信號(hào),這樣發(fā)送機(jī)必須將數(shù)據(jù)線拉高以容許主機(jī)產(chǎn)生停止條件。</p><p><b>  I2C總線應(yīng)答</b></p><p>  8 .5 I2C總線協(xié)議</p>

53、<p>  在開始條件后一個(gè)有效的硬件地址必須發(fā)送至PCF8591。讀寫位定義了以后單個(gè)或多個(gè)字節(jié)數(shù)據(jù)傳輸?shù)姆较?。開始條件、停止條件和應(yīng)答位的格式和定時(shí)參考I2C總線特性。在寫模式,數(shù)據(jù)傳輸通過發(fā)送下一個(gè)數(shù)據(jù)傳輸?shù)耐V箺l件或開始條件來結(jié)束。</p><p>  寫模式的總線協(xié)議 DA轉(zhuǎn)換</p><p>  讀模式的總線協(xié)議,AD轉(zhuǎn)換</p><p> 

54、 2.2各部分電路說明</p><p>  2.2.1單片機(jī)控制部分</p><p>  在本設(shè)計(jì)中,采用了AT89C51單片機(jī)作為本電路的核心電路的設(shè)計(jì)。AT89C51是一種帶4K字節(jié)閃爍可編程可擦除只讀存儲(chǔ)器(FPEROM—Falsh Programmable and Erasable Read Only Memory)的低電壓,高性能CMOS8位微處理器,俗稱單片機(jī)。AT89C205

55、1是一種帶2K字節(jié)閃爍可編程可擦除只讀存儲(chǔ)器的單片機(jī)。單片機(jī)的可擦除只讀存儲(chǔ)器可以反復(fù)擦除100次。該器件采用ATMEL高密度非易失存儲(chǔ)器制造技術(shù)制造,與工業(yè)標(biāo)準(zhǔn)的MCS-51指令集和輸出管腳相兼容。由于將多功能8位CPU和閃爍存儲(chǔ)器組合在單個(gè)芯片中,ATMEL的AT89C51是一種高效微控制器,AT89C2051是它的一種精簡(jiǎn)版本。AT89C單片機(jī)為很多嵌入式控制系統(tǒng)提供了一種靈活性高且價(jià)廉的方案。</p><p&

56、gt;<b>  (1)振蕩器特性:</b></p><p>  XTAL1和XTAL2的反向放大器的輸入和輸出。</p><p>  該反向放大器可以配置為片內(nèi)振蕩器。石晶振蕩和陶瓷振蕩均可采用。如采用外部時(shí)鐘源驅(qū)動(dòng)器件,XTAL2應(yīng)不接。有余輸入至內(nèi)部時(shí)鐘信號(hào)要通過一個(gè)二分頻觸發(fā)器,因此對(duì)外部時(shí)鐘信號(hào)的脈寬無任何要求,但必須保證脈沖的高低電平要求的寬度。</

57、p><p> ?。?)單片機(jī)芯片的擦除:</p><p>  整個(gè)PEROM陣列和三個(gè)鎖定位的電擦除可通過正確的控制信號(hào)組合,并保持ALE管腳處于低電平10ms 來完成。在芯片擦操作中,代碼陣列全被寫“1”且在任何非空存儲(chǔ)字節(jié)被重復(fù)編程以前,該操作必須被執(zhí)行。</p><p>  AT89C51的穩(wěn)態(tài)邏輯可以在低到零頻率的條件下靜態(tài)邏輯,支持兩種軟件可選的掉電模式。在閑

58、置模式下,CPU停止工作。但RAM,定時(shí)器,計(jì)數(shù)器,串口和中斷系統(tǒng)仍在工作。在掉電模式下,保存RAM的內(nèi)容并且凍結(jié)振蕩器,禁止所用其他芯片功能,直到下一個(gè)硬件復(fù)位為止。</p><p> ?。?)單片機(jī)的時(shí)鐘電路</p><p>  圖2.12片內(nèi)振蕩電路的時(shí)鐘電路</p><p>  AT89C1單片機(jī)內(nèi)部的振蕩電路是一個(gè)高增益反向放大器,引線XTAL1和XTAL

59、2分別是放大器的輸入端和輸出端。單片機(jī)內(nèi)部雖然有振蕩電路,但要形成時(shí)鐘,外部還需附加電路。AT89C51的時(shí)鐘產(chǎn)生方式有兩種:內(nèi)部時(shí)鐘電方式和外部時(shí)鐘方式。由于外部時(shí)鐘方式用于多片單片機(jī)組成的系統(tǒng)中,所以此處選用內(nèi)部時(shí)鐘方式。即利用其內(nèi)部的振蕩電路在XTAL1和XTAL2引線上外接定時(shí)元件,內(nèi)部振蕩電路產(chǎn)生自激振蕩。最常用的是在 XTAL1和XTAL2之間接晶體振蕩器與電路構(gòu)成穩(wěn)定的自激振蕩器,如圖2.13電路所示為單片機(jī)最常用的時(shí)鐘振

60、蕩電路的接法,其中晶振可選用振蕩頻率為6MHz的石英晶體,電容器一般選擇30PF左右。</p><p> ?。?)單片機(jī)的復(fù)位電路</p><p>  本設(shè)計(jì)中AT89C51是采用上電自動(dòng)復(fù)位和按鍵復(fù)位兩種方式。最簡(jiǎn)單的復(fù)位電路如圖2.13所示。上電瞬間,RC電路充電,RST引線端出現(xiàn)正脈沖,只要RST端保持10ms以上的高電平,就能使單片機(jī)有效地復(fù)位。其中R1和R2分別選擇200Ω和1K

61、Ω的電阻,電容器一般選擇22μF。</p><p> ?。?)AT89C51的最小應(yīng)用系統(tǒng)</p><p>  AT89C51是片內(nèi)有程序存儲(chǔ)器的單片機(jī),要構(gòu)成最小應(yīng)用系統(tǒng)時(shí)只要將單片機(jī)接上外部的晶體或時(shí)鐘電路和復(fù)位電路即可,如圖2.14所示。這樣構(gòu)成的最小系統(tǒng)簡(jiǎn)單可靠,其特點(diǎn)是沒有外部擴(kuò)展,有可供用戶使用的大量的I∕O線。</p><p>  圖2.14 AT8

62、9C51單片機(jī)構(gòu)成的最小系</p><p>  2.2.2傳感器數(shù)據(jù)采集電路</p><p>  傳感器數(shù)據(jù)采集電路主要指DS18B20溫度傳感器與單片機(jī)的接口電路。DS18B20可以采用兩種方式供電,一種是采用電源供電方式,如圖2.15所示,此時(shí)DS18B20的1腳接地,2腳作為信號(hào)線,3腳接電源。另一種是寄生電源供電方式,如圖2.15所示單片機(jī)端口接單線總線,為保證在有效的DS18B2

63、0時(shí)鐘周期內(nèi)提供足夠的電流,可用一個(gè)MOSFET管來完成對(duì)總線的上拉。當(dāng)DS18B20處于寫存儲(chǔ)器操作和溫度A/D轉(zhuǎn)換操作時(shí),總線上必須有強(qiáng)的上拉,上拉開啟時(shí)間最大為10us。采用寄生電源供電方式時(shí)VDD端接地。由于單線制只有一根線,因此發(fā)送接口必須是三態(tài)的??紤]到實(shí)際應(yīng)用中寄生電源供電方式適應(yīng)能力差且易損壞,此處采用電源供電方式,I/O口接單片機(jī)的P2.0口。</p><p>  圖2.15 電源供電方式<

64、;/p><p>  2.2.3 顯示電路</p><p>  顯示電路是采用P0口輸出段碼至LED,P2口控制位選通的動(dòng)態(tài)掃描顯示方式,三只數(shù)碼管用NPN型三極管驅(qū)動(dòng),這種顯示方式的最大優(yōu)點(diǎn)是顯示清晰,軟件設(shè)計(jì)簡(jiǎn)單。如圖2.16所示:</p><p>  圖2.16 顯示電路</p><p><b>  2.3主板電路</b>

65、;</p><p>  圖2.17 主板電路</p><p>  系統(tǒng)整體硬件電路包括傳感器數(shù)據(jù)采集電路,溫度顯示電路,上下限報(bào)警調(diào)整電路,單片機(jī)主板電路,電源電路等。如圖2.17所示</p><p>  圖2.17中有四個(gè)獨(dú)立式按鍵可以分別調(diào)整溫度計(jì)的上下限報(bào)警設(shè)置,可以任意調(diào)整報(bào)警上下限。圖中蜂鳴器可以在被測(cè)溫度不在上下限范圍內(nèi)時(shí),發(fā)出報(bào)警鳴叫聲音。LED數(shù)碼

66、管將當(dāng)前被測(cè)溫度值顯示,從而測(cè)出被測(cè)的溫度值。</p><p>  圖2.17中的按健復(fù)位電路是上電復(fù)位加手動(dòng)復(fù)位,使用比較方便,在程序跑飛時(shí),可以手動(dòng)復(fù)位,這樣就不用在重起單片機(jī)電源,就可以實(shí)現(xiàn)復(fù)位。</p><p><b>  第三章 軟件設(shè)計(jì)</b></p><p>  系統(tǒng)程序主要包括主程序,讀出溫度子程序,溫度轉(zhuǎn)換命令子程序,計(jì)算溫

67、度子程序,顯示數(shù)據(jù)刷新子程序等。 </p><p><b>  開始</b></p><p>  溫度比較子程序 </p><p><b>  是否超出上下限</b></p><p><b>  返 回

68、</b></p><p>  圖3.1 主程序流程</p><p><b>  3.1主程序</b></p><p>  主程序的主要功能是負(fù)責(zé)溫度的實(shí)時(shí)顯示、讀出并處理DS18B20的測(cè)量的當(dāng)前溫度值,溫度測(cè)量每1s進(jìn)行一次。這樣可以在一秒之內(nèi)測(cè)量一次被測(cè)溫度,其程序流程見圖3.1所示。</p><p>

69、  3.2讀出溫度子程序</p><p>  讀出溫度子程序的主要功能是讀出RAM中的9字節(jié),在讀出時(shí)需進(jìn)行CRC校驗(yàn),校驗(yàn)有錯(cuò)時(shí)不進(jìn)行溫度數(shù)據(jù)的改寫。其程序流程圖如圖3.2所示</p><p>  圖3.2 讀出溫度子程序流程</p><p>  3.3溫度轉(zhuǎn)換命令子程序 </p><p>  溫度轉(zhuǎn)換命令子程序主要是發(fā)溫度轉(zhuǎn)換開始命令,

70、當(dāng)采用12位分辨率時(shí)轉(zhuǎn)換時(shí)間約為750ms,在本程序設(shè)計(jì)中采用1s顯示程序延時(shí)法等待轉(zhuǎn)換的完成。溫度轉(zhuǎn)換命令子程序流程圖,如圖3.3所示</p><p>  圖3.3 溫度轉(zhuǎn)換流程圖</p><p>  3.4計(jì)算溫度子程序</p><p>  計(jì)算溫度子程序?qū)AM中讀取值進(jìn)行BCD碼的轉(zhuǎn)換運(yùn)算,并進(jìn)行溫度值正負(fù)的判定,其程序流程圖如圖3.4所示。</p&

71、gt;<p>  圖3.4  計(jì)算溫度流程圖 </p><p>  3.5 溫度數(shù)據(jù)顯示子程序</p><p>  顯示數(shù)據(jù)子程序主要是對(duì)顯示緩沖器中的顯示數(shù)據(jù)進(jìn)行刷新操作,查表送段碼至LED,開位碼顯示,采用動(dòng)態(tài)掃描方式。</p><p>  第四章 實(shí)物制作與調(diào)試說明</p><p>  4.1原材料的選擇與采購</

72、p><p>  本次設(shè)計(jì)在原材料的選擇與采購上做到了設(shè)計(jì)最優(yōu)化,即用最小的開支,獲得性價(jià)比較高的元器件和材料。</p><p>  設(shè)計(jì)中,印刷電路板采用單面板,給人看起來沒有太復(fù)雜的感覺。選元器件時(shí),盡量選擇能使電路簡(jiǎn)化的器件。例如,為了不增大電路板的體積及減小功耗,本設(shè)計(jì)采用ATMEL公司的89S51單片機(jī),體積小,工作電壓低。</p><p>  4.2印刷電路板

73、的設(shè)計(jì)與制作</p><p>  電路板的設(shè)計(jì)與制作是整個(gè)電路制作過程中比較重要的一步,如果電路板做不好,再好的電路設(shè)計(jì)也不行。下面就對(duì)簡(jiǎn)單電路板的設(shè)計(jì)及制作過程做一個(gè)簡(jiǎn)單的介紹。</p><p>  1、利用Protel 99SE畫原理圖。在畫原理圖的時(shí)候?yàn)榱穗娐钒灞容^好看,要注意布局,同時(shí)還得注意元件封裝,命名等。畫好原理圖后要對(duì)其進(jìn)行電氣檢測(cè),檢查原理圖是否有錯(cuò),同時(shí)還要?jiǎng)?chuàng)建網(wǎng)絡(luò)表為

74、下一步的工作做好準(zhǔn)備。</p><p>  2、PCB版圖的設(shè)計(jì)。在對(duì)PCB圖設(shè)計(jì)時(shí)首先要添加封裝庫,這樣原理圖中給予的封裝才能有效,然后調(diào)入網(wǎng)絡(luò)表看原理圖的封裝,命名等是否有錯(cuò),如果沒錯(cuò)便可進(jìn)行下一步操作。對(duì)其進(jìn)行布線,首先先對(duì)布線規(guī)則作一些必要的設(shè)置,如焊盤的大小,導(dǎo)線的粗細(xì)等。做好這些設(shè)置后便可進(jìn)行自動(dòng)布線,自動(dòng)布線后如果布線不是很理想還可用手動(dòng)布線進(jìn)行手動(dòng)修改,這樣PCB圖就畫好了。</p>

75、<p>  3、電路板的制作。把設(shè)計(jì)布局好的PCB圖打印出來之后,然后進(jìn)行壓板、腐蝕、鉆孔。注意,腐蝕之前要檢查是否有斷線及焊盤的脫落等。</p><p>  4、元件的焊接。元件焊接的時(shí)候要先查看跳線,首先焊接所有的跳線,其次再焊接分離元件,最后焊接集成塊和外接的引線。當(dāng)然為了美觀在布線中最好不要出現(xiàn)跳線。</p><p>  5、整體檢查。查看是否有斷線和虛焊等。</

76、p><p><b>  6、燒錄程序</b></p><p><b>  4.3 單片機(jī)測(cè)試</b></p><p>  判斷單片機(jī)芯片及時(shí)鐘系統(tǒng)是否正常工作有一個(gè)簡(jiǎn)單的辦法,就是用萬用表測(cè)量單片機(jī)晶振引腳(18、19腳)的對(duì)地電壓,以正常工作的單片機(jī)用數(shù)字萬用表測(cè)量為例:18腳對(duì)地約2.24V,19腳對(duì)地約2.09V。對(duì)于懷

77、疑是復(fù)位電路故障而不能正常工作的單片機(jī)也可以采用模擬復(fù)位的方法來判斷,單片機(jī)正常工作時(shí)第9腳對(duì)地電壓為零,可以用導(dǎo)線短時(shí)間和+5V連接一下,模擬一下上電復(fù)位,如果單片機(jī)能正常工作了,說明這個(gè)復(fù)位電路有問題。</p><p>  4.4 硬件及軟件調(diào)試</p><p>  硬件調(diào)試,第一步是目測(cè),在印好電路板之后,先檢查印制線是否有斷線、是否有毛刺、是否與其它線或焊盤粘連、焊盤是否有脫落、過

78、孔是否有未金屬化現(xiàn)象。而在目測(cè)的過程中,我們發(fā)現(xiàn)有一條印制線斷開,因此我們用焊錫使這條斷線連在一起。第二步是用萬用表測(cè)量。在目測(cè)完之后,利用萬用表來測(cè)量連線和接點(diǎn),檢查它們的通斷狀態(tài)是否和設(shè)計(jì)一樣。再檢查各種電源線和地線是否有短路現(xiàn)象,在檢查的過程中,發(fā)現(xiàn)不管是連線還是接點(diǎn)都符合設(shè)計(jì)規(guī)定,電源和地線也沒有短路現(xiàn)象。第三步是加電檢查。給印制板加電時(shí),我們檢查到的器件的電源端符合要求的電壓值+5V,同時(shí)接地端的電壓為0。第四步是聯(lián)機(jī)檢查。利

79、用系統(tǒng)和單片機(jī)開發(fā)系統(tǒng)用仿真電纜連接起來,發(fā)現(xiàn)聯(lián)機(jī)檢查完后以上是連接都正確、暢通、可靠。</p><p>  軟件調(diào)試,第一步是在具有匯編軟件的主機(jī)上和用戶系統(tǒng)連接起來,進(jìn)行調(diào)試準(zhǔn)備。第二步是單步運(yùn)行。第三步是系統(tǒng)連調(diào),即進(jìn)行軟件和硬件聯(lián)合調(diào)試。經(jīng)調(diào)試,軟件運(yùn)行良好。</p><p>  4.5整機(jī)的調(diào)試與測(cè)試</p><p>  首先是測(cè)試顯示電路的正確性,根據(jù)硬

80、件寫好一段顯示程序,寫入單片機(jī)中。安裝好硬件,上電,顯示正常,達(dá)到預(yù)期效果。證明顯示電路正常。按下復(fù)位按鍵,LED無顯示,松開,顯示正常,證明復(fù)位電路正常。</p><p>  然后測(cè)試得到溫度程序,將初始化程序,DS18B20正常工作的初始化程序、寫DS18B20程序、讀DS18B20程序,得到溫度子程序,溫度轉(zhuǎn)換子程序,數(shù)據(jù)轉(zhuǎn)換子程序,顯示子程序正確編排后寫入單片機(jī)中,上電,顯示不正常。重新讀取源程序,經(jīng)檢查

81、后發(fā)現(xiàn)問題在于DS18B20初始化程序有錯(cuò),修改后重新編譯并寫入單片機(jī)。上電后,顯示當(dāng)前溫度。證明溫度傳感器DS18B20工作正常,各部分子程序運(yùn)行正常。</p><p>  最后是按鍵子程序及報(bào)警子程序的調(diào)試,將按鍵子程序及報(bào)警子程序及上述程序正確編排后,寫入單片機(jī)中,上電后,各個(gè)部分工作正常,在測(cè)得當(dāng)前溫度超出設(shè)定溫度上下限后,蜂鳴器發(fā)出報(bào)警聲,調(diào)試基本成功。但后來發(fā)現(xiàn),按鍵要在按下1S后才反應(yīng),再次研讀程序

82、發(fā)現(xiàn)原因在于按鍵程序采用掃描方式,程序每執(zhí)行一遍才掃描按鍵一次。進(jìn)而到考慮采用中斷方式解決此問題,但因?yàn)镈S18B20正常工作有嚴(yán)格的時(shí)序限制,否則不能正常工作,而中斷則在很大可能上會(huì)影響到DS18B20正常工作。在嘗試并采用中斷方式卻失敗后,決定仍采用掃描方式。后來仔細(xì)排查發(fā)現(xiàn)按鍵反應(yīng)遲緩是由于顯示程序占用時(shí)間過長造成的,修改顯示程序并且在主程序和按鍵子程序中增加調(diào)用顯示程序的次數(shù),問題得以解決。至此,此次設(shè)計(jì)的調(diào)試部分完成。設(shè)計(jì)的所

83、有功能全部得以實(shí)現(xiàn)。</p><p><b>  第五章 使用說明書</b></p><p>  本電路額定工作直流電壓為+5V,有極性判別保護(hù)功能,采用7805集成穩(wěn)壓芯片以保證電路的供電穩(wěn)定,用戶輸入電源在7-25V均可正常工作。</p><p>  電路中有五個(gè)按鍵,從左到右依次為S1、S2、S3、S4、RESET,介紹如下:</p

84、><p>  S1為溫度上下限設(shè)置狀態(tài)的退出或確定按鍵</p><p>  S2為設(shè)置溫度上下限的+鍵,每按下一次上下限值加一</p><p>  S3為設(shè)置溫度上下限的-鍵,每按下一次上下限值減一</p><p>  S4為設(shè)置溫度上下限設(shè)置狀態(tài)進(jìn)入按鍵,第一次按下進(jìn)入低限設(shè)置,按下S4后,再次按下S1進(jìn)入高限設(shè)置。</p>&l

85、t;p>  RESET為復(fù)位按鍵</p><p>  使用方法及報(bào)警電路說明:</p><p>  接通電源,紅色指示燈亮,表明電源正常。此時(shí)數(shù)碼管應(yīng)顯示初值025,由于顯示時(shí)間稍短,一閃即過。接下來顯示當(dāng)前溫度,若不顯示則說明硬件有問題,此時(shí)蜂鳴器將報(bào)警,綠色指示燈也會(huì)點(diǎn)亮。硬件正常,LED就會(huì)顯示當(dāng)前溫度。若此時(shí)檢測(cè)到當(dāng)前環(huán)境溫度不在原來設(shè)定的上下限范圍之內(nèi),蜂鳴器也將報(bào)警同是綠

86、燈點(diǎn)亮。直到采取措施改變環(huán)境溫度在上下限范圍內(nèi)或調(diào)整溫度上下限。</p><p><b>  第六章 參考文獻(xiàn)</b></p><p>  [1]陳權(quán)昌,李興富·單片機(jī)原理與應(yīng)用·華南理工大學(xué)出版社,2007年</p><p>  [2]陳明.protel 99se原理圖與pcb設(shè)計(jì)教程.北京:機(jī)械工業(yè)出版社,2006<

87、;/p><p>  [3]閻石.數(shù)字電子技術(shù)基礎(chǔ)(第四版). 北京:高等教育出版社,1997</p><p>  [4]王恩榮.MCS-51單片機(jī)應(yīng)用技術(shù).北京:化學(xué)工業(yè)出版社,2001</p><p>  [5]黃河.郭紀(jì)林.單片機(jī)原理及應(yīng)用.大連:大連理工大學(xué)出版社,2006</p><p>  [6]周貴連. 電子技術(shù)基礎(chǔ). 機(jī)械工業(yè)出版社

88、,2005</p><p>  [7]孫萍·電子技術(shù)專業(yè)英語·機(jī)械工業(yè)出版社,2001年6月</p><p>  [8]李廣弟. 單片機(jī)基礎(chǔ). 北京:北京航空航天大學(xué)出版社,1994</p><p>  [9]閻石. 數(shù)字電子技術(shù)基礎(chǔ). 北京:高等教育出版社,1989</p><p>  [10]欒桂冬·傳感器及

89、其應(yīng)用·西安電子科技大學(xué)出版社,2003</p><p>  [11]周荷琴,吳秀清,微型計(jì)算機(jī)原理與接口技術(shù)。合肥,中國科學(xué)技術(shù)大學(xué)出版社,2004.</p><p>  [12]范立南,謝子殿.單片機(jī)原理及應(yīng)用教程.北京大學(xué)出版社,2006.</p><p>  [13]沈德金.MCS-51系列單片機(jī)接口電路與應(yīng)用程序?qū)嵗?:北京航空航天大學(xué).2005

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論