2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩34頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、<p> 畢業(yè)綜合報告題 目: 簡易數(shù)字電壓表 二零一二年九月二十日</p><p><b>  摘 要</b></p><p>  隨著電子技術(shù)的發(fā)展,由于經(jīng)常需要測量高精度的電壓,而數(shù)字式儀器是具有讀數(shù)準確方便、精度高、誤差小、靈敏度高、分辨率高、測量速度快等特點而倍受用戶青睞,因此數(shù)字電壓表應(yīng)運而生。</p>

2、;<p>  數(shù)字電壓表(Digital Voltmeter)簡稱DVM,它是采用數(shù)字化測量技術(shù),把連續(xù)的模擬量(直流輸入電壓)轉(zhuǎn)換成不連續(xù)、離散的數(shù)字形式并加以顯示的儀表。目前,其作為數(shù)字化儀表的基礎(chǔ)和核心,已被廣泛應(yīng)用于電子和電工測量、工業(yè)自動化儀表、自動測試系統(tǒng)等領(lǐng)域,顯示出強大的生命力,是一種必不可少的電子儀器儀表。與此同時,數(shù)字電壓表擴展而成的各種通用及專用機器儀表,也將電量及非電量測量技術(shù)提高到嶄新水平。<

3、;/p><p>  數(shù)字電壓表是諸多數(shù)字化儀表的核心與基礎(chǔ),電壓表的數(shù)字化是將連續(xù)的模擬量如直流電壓轉(zhuǎn)換成不連續(xù)的離散的數(shù)字形式并加以顯示,這有別于傳統(tǒng)的以指針加刻度盤進行讀數(shù)的方法,避免了讀數(shù)的視差和視覺疲勞。目前數(shù)字電壓表的內(nèi)部核心部件是A/D轉(zhuǎn)換器, 轉(zhuǎn)換器的精度很大程度上影響著數(shù)字電壓表的準確度,本文A/D轉(zhuǎn)換器采用ADC0809對輸人模擬信號進行轉(zhuǎn)換,控制核心AT89C51再對轉(zhuǎn)換的結(jié)果進行運算和處理,最后

4、驅(qū)動輸出裝置顯示數(shù)字電壓信號。</p><p>  而本設(shè)計正是利用單片機借助軟件實現(xiàn)數(shù)字顯示功能、自動校準LED顯示。A/D轉(zhuǎn)換器構(gòu)成數(shù)模轉(zhuǎn)換電路。此電路基于AT89c51單片機的一種8路輸入電壓測量模式,采用ADC0809作為A/D轉(zhuǎn)換元件,同時利用LED數(shù)碼管為顯示設(shè)備,因此使得顯示更清晰直觀、讀數(shù)更準確,并減少了因為人為因素所造成的測量誤差事件,同時提高了測量的準確度., 具有精度高、速度快、性能穩(wěn)定和電

5、路簡單且工作可靠等特點,具有很好的使用價值。</p><p>  關(guān)鍵詞: 數(shù)字電壓表;單片機;A/D轉(zhuǎn)換;LED </p><p><b>  第1章系統(tǒng)方案設(shè)計</b></p><p><b>  1.1系統(tǒng)審計任務(wù)</b></p><p>  設(shè)計單片機主電路、數(shù)據(jù)采集接口電路、LED顯示電

6、路、撥碼控制電路,能夠?qū)崿F(xiàn)對8路電壓值進行測量,能夠顯示當(dāng)前測量通道號及電壓值,電壓精度小數(shù)點后2位,可以通過鍵盤選擇循環(huán)顯示8路的檢測電壓值和指定通道的檢測電壓值。</p><p><b>  1.2 設(shè)計方案</b></p><p>  將×數(shù)據(jù)采集接口電路輸入電壓傳入ADC0809數(shù)模轉(zhuǎn)換元件,經(jīng)轉(zhuǎn)換后通過D0至D7與單片機P0口連接,把轉(zhuǎn)換完的模擬信

7、號以數(shù)字信號的信號的形式傳給單片機,信號經(jīng)過單片機處理從LED數(shù)碼顯示管顯示。撥碼開關(guān)連P3口,實現(xiàn)通道選擇。</p><p>  P2口接數(shù)碼管位選,P1接數(shù)碼管,實現(xiàn)數(shù)據(jù)的動態(tài)顯示,如下圖所示:</p><p>  圖1.1系統(tǒng)總體方案結(jié)構(gòu)圖</p><p><b>  1.3方案論</b></p><p>  按系

8、統(tǒng)功能實現(xiàn)要求,決定控制系統(tǒng)采用AT89C52單片機,A/D轉(zhuǎn)換采用ADC0809。系統(tǒng)除能確保實現(xiàn)要求的功能外,還可以方便地進行8路其他A/D轉(zhuǎn)換量的測量和遠程測量結(jié)果傳送等擴展功能。</p><p>  數(shù)字電壓表系統(tǒng)設(shè)計方案框如圖所示。</p><p>  圖1.2 數(shù)字電壓表系統(tǒng)設(shè)計方案框圖</p><p>  1.4軟硬件開發(fā)環(huán)境</p>&

9、lt;p>  硬件電路大體可分為A\D轉(zhuǎn)換模塊、數(shù)據(jù)處理模塊、顯示控制模塊組成。</p><p>  1.A\D轉(zhuǎn)換模塊: STC、PIC、AVR型號等單片機,內(nèi)部帶有8位以上的A/D轉(zhuǎn)換器,可以方便的實現(xiàn)數(shù)據(jù)采集。但該類芯片價格稍高,有些型號不兼容51匯編語言,不易使用。采用ADC0809A/D轉(zhuǎn)換器,它具有8路模擬輸入端口,最大數(shù)值分辨率(精度)為0.0196V。</p><p&

10、gt;  2.數(shù)據(jù)處理模塊 采用AT89C52單片機。</p><p><b>  3.顯示控制模塊</b></p><p>  利用單片機的30腳的六分頻晶振頻率再通過14024二分頻得到1MHZ時鐘。顯示控制采用四位LED數(shù)碼管輪流顯示或單路選擇顯示。</p><p>  硬件選擇:選擇89c51作為單片機芯片,選用8段共陰極LED數(shù)碼管

11、實現(xiàn)電壓顯示,選用獨立式按鍵作為程序的跳轉(zhuǎn)與選擇,利用ADC0809作為數(shù)模轉(zhuǎn)換芯片,利用P0至P4的各個串口來進行不同設(shè)備間的連接,計算機進行匯編,H51/L仿真器,單片機多功能實驗箱。</p><p>  軟件軟件開發(fā)環(huán)境: 用Protel99SE軟件畫電路圖。</p><p>  能夠?qū)崿F(xiàn)對8路電壓值進行測量,能夠顯示當(dāng)前測量通道號及電壓值,電壓精度小數(shù)點后1位,可以通過鍵盤選擇循環(huán)

12、顯示8路的檢測電壓值和指定通道的檢測電壓值</p><p><b>  第2章元器件介紹</b></p><p>  2.1AT89C52</p><p>  2.1.1 主要特征錯誤!未找到引用源。</p><p>  AT89C52是一個低電壓,高性能CMOS 8位單片機,片內(nèi)含8k bytes的可反復(fù)擦寫的Flas

13、h只讀程序存儲器和256 bytes的隨機存取數(shù)據(jù)存儲器(RAM),器件采用ATMEL公司的高密度、非易失性存儲技術(shù)生產(chǎn),兼容標準MCS-51指令系統(tǒng),片內(nèi)置通用8位中央 處理器和Flash存儲單元,功能強大的AT89C52單片機可為您提供許多較復(fù)雜系統(tǒng)控制應(yīng)用場合。</p><p>  2.1.2 內(nèi)部結(jié)構(gòu) </p><p>  AT8

14、9C52有40個引腳,8k字節(jié)Flash閃速存儲器,256字節(jié)內(nèi)部RAM,32個I/O口線,3個16位定時/計數(shù)器,一個6向量兩級中斷結(jié)構(gòu),一個全雙工串行通行口,片內(nèi)振蕩器及時鐘電路。時,AT89C52可降至0HZ的靜態(tài)邏輯操作,并支持系統(tǒng)繼續(xù)工作。掉電方式保存RAM中的內(nèi)容,但振蕩器停止工作并禁止其它所有部件工作直到下一個硬件復(fù)位。AT89C52引腳圖如下:</p><p>  圖2.1 AT89C52引腳示意

15、圖</p><p>  2.2 ADC0809</p><p><b>  2.2.1主要特征</b></p><p>  ADC0809轉(zhuǎn)換器、8路多路開關(guān)以及微處理機兼容的控制邏輯的CMOS組件。它是逐次逼近式A/D轉(zhuǎn)換器,可以和單片機直接接口。</p><p>  表2.1 ADC0809 控制引腳及其功能&l

16、t;/p><p><b>  2.2.2內(nèi)部結(jié)構(gòu)</b></p><p>  ADC0809擬開關(guān)、一個地址鎖存與譯碼器、一個A/D轉(zhuǎn)換器和一個三態(tài)輸出鎖存器組成。多路開關(guān)可選通8個模擬通道,允許8路模擬量分時輸入,共用A/D轉(zhuǎn)換器進行轉(zhuǎn)換。三態(tài)輸出鎖器用于鎖存A/D轉(zhuǎn)換完的數(shù)字量,當(dāng)OE端為高電平時,才可以從三態(tài)輸出鎖存器取走轉(zhuǎn)換完的數(shù)據(jù)。</p><

17、;p> ?。?)IN0~IN7:8路模擬信號輸入端。</p><p>  (2) C、B、A:8路模擬信號轉(zhuǎn)換選擇端。</p><p>  與低8位地址中A0~A2連接。由A0~A2地址000~111選擇IN0~IN7八路A/D通道。</p><p>  (3) CLK:外部時鐘輸入端。</p><p>  時鐘頻率高,A/D轉(zhuǎn)換速度快

18、。允許范圍為10~1280KHz 。通常由80C51 ALE端直接或分頻后與0809 CLK端相連接。</p><p>  (4) D0~D7:數(shù)字量輸出端。</p><p>  (5) OE:A/D轉(zhuǎn)換結(jié)果輸出允許控制端。</p><p>  OE=1,允許將A/D轉(zhuǎn)換結(jié)果從D0~D7端輸出。通常由80C51的端與0809片選端通過或非門與0809 OE端相連接。

19、</p><p>  (6) ALE:地址鎖存允許信號輸入端。</p><p>  0809 ALE信號有效時將當(dāng)前轉(zhuǎn)換的通道地址鎖存。</p><p>  (7) START:啟動A/D轉(zhuǎn)換信號輸入端。</p><p>  當(dāng)START端輸入一個正脈沖時,立即啟動0809進行A/D轉(zhuǎn)換。START端與ALE端連在一起,由89C52WR與08

20、09片選端通過或非門相連。</p><p>  (8) EOC:A/D轉(zhuǎn)換結(jié)束信號輸出端,高電平有效。</p><p>  (9) UREF(+)、UREF(-):正負基準電壓輸入端。</p><p>  (10) Vcc:正電源電壓(+5V)。</p><p>  (11) GND:接地端。</p><p>  圖2

21、.2 ADC0809內(nèi)部結(jié)構(gòu)示意</p><p>  圖2.3 ADC0809引腳示意圖</p><p>  ADC0809的內(nèi)部邏輯結(jié)構(gòu)如上圖所示。圖中多路模擬開關(guān)可選通8路模擬通道,允許8路模擬量分時輸入,并共用一個A/D轉(zhuǎn)換器進行轉(zhuǎn)換。地址鎖存與譯碼電路完成對A、B、C三個地址位進行鎖存與譯碼,如表3.2所示。</p><p>  表2.2 ADC0809

22、通道選擇表</p><p><b>  第3章系統(tǒng)硬件設(shè)計</b></p><p>  3.1 單片機主要電路</p><p>  在本次課題設(shè)計中我們選擇了8951芯片,其具有功能強、體積小、成本低、功耗小等特點,它可單獨地完成現(xiàn)代工業(yè)控制所要求的智能化控制功能,能在軟件的控制下準確、迅速、高效地完成程序。設(shè)計者事先規(guī)定的任務(wù)</p&g

23、t;<p>  3.1.1 復(fù)位電路錯誤!未找到引用源。</p><p>  復(fù)位電路如圖3.1所示,單片機系統(tǒng)常常有上電復(fù)位和操作復(fù)位兩種。上電復(fù)位是指單片機上點復(fù)瞬間,要在RST引腳上出現(xiàn)寬度大于10ms的正脈沖,才能使單片機進入復(fù)位狀態(tài)。操作復(fù)位是指用戶按下“復(fù)位”按鈕使單片機進復(fù)位狀態(tài). </p><p>  圖3.1復(fù)位電路 </p><p&g

24、t;  3.1.2晶振電路錯誤!未找到引用源。</p><p>  晶振電路電路用于產(chǎn)生單片機工作所需的時鐘信號,使用晶體震蕩器時,c2,c3取值20~40PF,使用陶瓷震蕩器時c2,c3取值30~50PF。在設(shè)計電路板時,晶振和電容應(yīng)盡量靠近芯片,以減小分布電容,保證震蕩器的穩(wěn)定性。18引腳接XTAL1,19引腳接XTAL2,20引腳接地。</p><p><b>  圖3.2

25、晶振電路圖</b></p><p>  3.2測量、裝換電路設(shè)計</p><p>  使用ADC0809作為數(shù)模轉(zhuǎn)換元件,其引腳圖如4.3所示:</p><p>  圖3.3數(shù)模轉(zhuǎn)換元件</p><p>  ADC0809是帶有8:1多路模擬開關(guān)的8位A/D轉(zhuǎn)換芯片,所以它可有8個模擬量的輸入端,由芯片的A,B,C三個引腳來選擇模

26、擬通道中的一個。A,B,C三端分別與89C51的P0.0~P0.2相接。地址鎖存信號(ALE)和啟動轉(zhuǎn)換信號(START),由P2.6和/WR或非得到。輸出允許,由P2.6和/RD或非得到。時鐘信號,可有89C51的ALE輸出得到,不過當(dāng)采用12M晶振時,應(yīng)該先進行二分頻,以滿足ADC0809的時鐘信號必須小于640K的要求。</p><p>  圖3.4數(shù)據(jù)轉(zhuǎn)換系統(tǒng)電路圖</p><p>

27、;  3.3 顯示電路設(shè)計</p><p>  3.3.1 LED數(shù)碼管構(gòu)成錯誤!未找到引用源。</p><p>  LED數(shù)碼管顯示器是由發(fā)光二極管顯示字段的顯示器件,也稱為數(shù)碼管。其外形結(jié)構(gòu)如圖所示。它由8個發(fā)光二極管構(gòu)成,通過不同的組合可用來顯示0-9、A-F及小數(shù)點“.”等字符。</p><p>  數(shù)碼管有共陰極和共陽極兩種結(jié)構(gòu)規(guī)格,如圖4.5所示。圖中電

28、阻為外接。共陰極數(shù)碼管的發(fā)光二極管陰極共地,當(dāng)某發(fā)光二極管的陽極為高電平時,二極管點亮;共陽極數(shù)碼管的發(fā)光二極管是陽極,并接高電平,對于需點亮的發(fā)光二極管將其陰極接低電平即可。</p><p>  7段發(fā)光二極管,在加上1個小數(shù)點位,共計8段,因此提供給LED顯示器的字形碼正好字節(jié)</p><p>  A共陰極 B共陽極</p>

29、<p>  C 字段顯示 </p><p>  數(shù)碼管原理電路圖﹙A,B.C﹚</p><p>  3.3.2 顯示方式</p><p>  (1) 靜態(tài)顯示方式直接利用并行口輸出。LED顯示工作于靜態(tài)顯示方式時,各位的共陰極連接在一起接地;每位的段選線分別于一個8位的鎖存輸出相連。一般稱之為

30、靜態(tài)顯示,是由于顯示器中的各位相互獨立。而且各位的顯示字符一經(jīng)確定,相應(yīng)鎖存器的輸出將維持不變,直到顯示另一個字符為止。利用通信號串行輸出。在實際應(yīng)用中,多位LED顯示時,為了簡化電路,在系統(tǒng)不需要通信功能時,經(jīng)常采用串行通信口工作方式0,外接移位寄存器74LS164、CD4094來實現(xiàn)靜態(tài)顯示。</p><p> ?。?) 動態(tài)顯示方式</p><p>  對多位LED顯示器的動態(tài)顯示,

31、通常都時采用動態(tài)掃描的方法進行顯示,即逐個循環(huán)點亮各位顯示器。這樣雖然在任一時刻只有一位顯示器被點亮,但是由于間隔時間較短,且人眼具有視覺殘留效應(yīng),看起來與全部顯示器持續(xù)點亮一樣。</p><p>  為了實現(xiàn)LED顯示器的動態(tài)掃描,除了要給顯示器提供的輸入之外,還要對顯示器加位選擇控制,這就是通常所說的段控和位控。因此多位LED顯示器接口電路需要有兩個輸出口,其中一個用于輸出8位控信號;另一個用于輸出段控信號,

32、其連接圖如下。</p><p>  圖3.6LED顯示電路</p><p>  段LED段七選碼表3.1:</p><p><b>  第4章系統(tǒng)軟件設(shè)計</b></p><p><b>  4.1主要程序設(shè)計</b></p><p>  4.1.1 工作流程錯誤!未找到引用

33、源。</p><p>  首先撥動撥碼開關(guān)k1,如果是低電平,程序轉(zhuǎn)向選擇通道程序,撥動k2的次數(shù)即是選擇的通道號,撥動k3表示確認。轉(zhuǎn)向數(shù)據(jù)讀取程序,再到顯示程序,顯示出通道號和電壓值。如果k1是高電平,則轉(zhuǎn)向循環(huán)顯示程序,即先顯示第0路最后顯示第7路電壓值和相應(yīng)通道號。</p><p>  4.1.2 錯誤!未找到引用源。儲存庫間定義安排</p><p>  6

34、0 H用于存放A/D轉(zhuǎn)換結(jié)果,30H、31H、32H分別存儲顯示用的三位數(shù)據(jù).</p><p>  表4.1存儲空間定義表:</p><p><b>  4.2模塊程序設(shè)計</b></p><p>  4.2.1 A/D轉(zhuǎn)換測量程序錯誤!未找到引用源。</p><p>  A/D轉(zhuǎn)換的常用方法有:①計數(shù)式A/D轉(zhuǎn)換,②逐

35、次逼近型A/D轉(zhuǎn)換,③雙積分式A/D轉(zhuǎn)換,④ V/F變換型A/D轉(zhuǎn)換。在這些轉(zhuǎn)換方式中,記數(shù)式A/D轉(zhuǎn)換線路比較簡單,但轉(zhuǎn)換速度較慢,所以現(xiàn)在很少應(yīng)用。雙積分式A/D轉(zhuǎn)換精度高,多用于數(shù)據(jù)采集及精度要求比較高的場合,如5G14433(31/2位),AD7555(41/2位或51/2位)等,但速度更慢。逐次逼近型A/D轉(zhuǎn)換既照顧了轉(zhuǎn)換速度,有具有一定的精度,這里選用的是逐次逼近型的A/D轉(zhuǎn)換芯片ADC0809。采用中斷控制的方式實現(xiàn),不浪

36、費時間,效率較高。</p><p>  圖4.1 A/D轉(zhuǎn)換測量程序流程圖</p><p>  4.2.2 錯誤!未找到引用源。顯示程序</p><p>  對多位LED顯示器的動態(tài)顯示,通常都是采用動態(tài)掃描的方法進行顯示,即逐個循環(huán)點亮各位顯示器。這樣雖然在任一時刻只有一位顯示器被點亮,但是由于間隔時間較短,且人眼具有視覺殘留效應(yīng),看起來與全部顯示器持續(xù)點亮一樣。

37、</p><p>  為了實現(xiàn)LED顯示器的動態(tài)掃描,除了要給顯示器提供的輸入之外,還要對顯示器加位選擇控制,這就是通常所說的段控和位控。因此多位LED顯示器接口電路需要有兩個輸出口,其中一個用于輸出8位為控信號;另一個用于輸出段控信號。</p><p>  圖4.2多位LED顯示器的動態(tài)顯示流程圖</p><p><b>  第5章系統(tǒng)調(diào)試</b&

38、gt;</p><p><b>  5.1調(diào)試及測試</b></p><p>  按照電原理圖連接好硬件電路,并通過編譯器進行源程序編譯及仿真調(diào)試,編好程序后進行軟硬件聯(lián)調(diào)。程序可分為數(shù)據(jù)采集系統(tǒng)、數(shù)據(jù)轉(zhuǎn)換系統(tǒng)、顯示系統(tǒng)和按鍵控制系統(tǒng),這四部分先獨立測試,然后整體調(diào)試。接通電源后用萬用表測試調(diào)理電路的輸入端電壓是否為+5V,若為+5V則電源連接正確,LED是否進行輪流

39、顯示或單路選擇顯示,顯示精度控制在0.02伏內(nèi)。</p><p><b>  顯示系統(tǒng)的調(diào)試:</b></p><p>  (1) 接通電源后數(shù)碼管無顯示。經(jīng)過認真檢查電路板結(jié)果發(fā)現(xiàn),與數(shù)碼管相連的兩個電阻沒焊上。</p><p> ?。?) 焊好電阻后,接通電源后,發(fā)現(xiàn)數(shù)碼管只有一路電壓值。再次檢查電路板無誤后,確定是實驗程序出現(xiàn)問題。經(jīng)過修

40、改程序后,將新程序燒到單片機中,數(shù)碼管穩(wěn)定顯示。</p><p><b>  5.2性能分析</b></p><p>  1.由于單片機為8位處理器,當(dāng)輸入電壓為5.00V,輸入數(shù)據(jù)值為255(FFH),因此單片機最大的數(shù)值分辨率0.0196V(5/255)。這就決定了該電壓表的最大分辨率只能達到0。019V。測試時電壓數(shù)值的變化一般以0.02的電壓幅度變化,如要獲得

41、跟高的精度要求,應(yīng)采用12位、13位的A/D轉(zhuǎn)換器。</p><p>  2.簡易電壓表測得的值基本上均比標準值偏大0.01—0.02V。這可以通過校正0809的基準電壓來解決,因為該電壓表設(shè)計時直接用7805的供電電源作為基準電壓,電壓可能有偏差。另外可以用軟件編程來校正測量值。</p><p>  3.ADC0809的直流輸入阻抗為1M,能滿足一般的電壓測試需要。另外,經(jīng)測試ADC08

42、09可直接在2MHZ的頻率下工作,這樣可省去分頻器14024集成塊。</p><p>  4.當(dāng)要測量大于5V的電壓時,可在輸入口使用分壓電阻,而程序中只要將計算機程序的除數(shù)進行調(diào)整就可以了,但是量程越大,測量精度就會越低。</p><p>  第6章PCB板的制作</p><p><b>  6.1 建立分析</b></p>

43、<p>  1.由于ADC0809在進行A/D轉(zhuǎn)換時需要有CLK信號,而此時的ADC0809的CLK是接在AT89C52單片機的P3.3端口上,也就是要求從P3.3輸出CLK信號供ADC0809使用。因此產(chǎn)生CLK信號的方法就得用軟件來產(chǎn)生了。 </p><p>  2. 由于ADC0809的參考電壓VREF=VCC,所以轉(zhuǎn)換之后的數(shù)據(jù)要經(jīng)過數(shù)據(jù)處理,在數(shù)碼管上顯示出電壓值。實際顯示的電壓值 (D/25

44、6*VREF)。</p><p>  6.2 PCB板的制作</p><p><b>  系統(tǒng)板上硬件連線 </b></p><p>  1. 把單片機系統(tǒng)區(qū)域中的P1.0-P1.7與動態(tài)數(shù)碼顯示區(qū)域中的ABCDEFGH端口用8芯排線連接。 </p><p>  2. 把單片機系統(tǒng)區(qū)域中的P2.0-P2.7與動態(tài)數(shù)碼顯示

45、區(qū)域中的S1S2S3S4S5S6S7S8端口用8芯排線連接。 </p><p>  3. 把單片機系統(tǒng)區(qū)域中的P3.0與模數(shù)轉(zhuǎn)換模塊區(qū)域中的ST端子用導(dǎo)線相連接。 </p><p>  4. 把單片機系統(tǒng)區(qū)域中的P3.1與模數(shù)轉(zhuǎn)換模塊區(qū)域中的OE端子用導(dǎo)線相連接。 </p><p>  5. 把單片機系統(tǒng)區(qū)域中的P3.2與模數(shù)轉(zhuǎn)換模塊區(qū)域中的EOC端子用導(dǎo)線相連接。

46、 </p><p>  6. 把單片機系統(tǒng)區(qū)域中的P3.3與模數(shù)轉(zhuǎn)換模塊區(qū)域中的CLK端子用導(dǎo)線相連接。 </p><p>  7. 把模數(shù)轉(zhuǎn)換模塊區(qū)域中的A2A1A0端子用導(dǎo)線連接到電源模塊”區(qū)域中的GND端子上。 </p><p>  8. 把模數(shù)轉(zhuǎn)換模塊區(qū)域中的IN0端子用導(dǎo)線連接到三路可調(diào)電壓模塊”區(qū)域中的VR1端子上。 </p><p&

47、gt;  9. 把單片機系統(tǒng)區(qū)域中的P0.0-P0.7用8芯排線連接到模數(shù)轉(zhuǎn)換模塊區(qū)域中的D0D1D2D3D4D5D6D7端子上。</p><p>  6.3 PCB板的制作注意事項</p><p>  6.3.1 原理圖常見的錯誤</p><p>  1. ERC報告管腳沒有接入信號:a. 創(chuàng)建封裝時給管腳定義了I/O屬性;b.創(chuàng)建元件或放置元件時修改了不一致的g

48、rid屬性,管腳與線沒有連上;c. 創(chuàng)建元件時pin方向反向,必須非pin name端連線。</p><p>  2.元件跑到圖紙界外:沒有在元件庫圖表紙中心創(chuàng)建元件。</p><p>  3.創(chuàng)建的工程文件網(wǎng)絡(luò)表只能部分調(diào)入pcb:生成net list時沒有選擇為global。</p><p>  4.當(dāng)使用自己創(chuàng)建的多部分組成的元件時,千萬不要使用annotat

49、e。</p><p>  6.3.2 PCB板常見的錯誤</p><p>  1.網(wǎng)絡(luò)載入時報告NODE沒有找到:a. 原理圖中的元件使用了pcb庫中沒有的封裝;b. 原理圖中的元件使用了pcb庫中名稱不一致的封裝;c. 原理圖中的元件使用了pcb庫中pin number不一致的封裝。如三極管:sch中pin number 為e,b,c, 而pcb中為1,2,3。</p>&

50、lt;p>  2.打印時總是不能打印到一頁紙上:a. 創(chuàng)建pcb庫時沒有在原點;b. 多次移動和旋轉(zhuǎn)了元件,pcb板界線外有隱藏的字符。選擇顯示所有隱藏的字符, 縮小pcb, 然后移動字符到邊界內(nèi)。</p><p>  3.DRC報告網(wǎng)絡(luò)被分成幾個部分:表示這個網(wǎng)絡(luò)沒有連通,看報告文件,使用選擇CONNECTEDCOPPER查找。</p><p>  4.另外盡量多幾次導(dǎo)出文件,做成

51、新的DDB文件,減少文件尺寸和PROTEL僵死的機會。如果作較復(fù)雜得設(shè)計,盡量不要使用自動布線。</p><p><b>  6.3.3 布線</b></p><p>  在PCB設(shè)計中,布線是完成產(chǎn)品設(shè)計的重要步驟,可以說前面的準備工作都是為它而做的,在整個PCB中,以布線的設(shè)計過程限定最高,技巧最細、工作量最大。PCB布線有單面布線、 雙面布線及多層布線。<

52、/p><p>  布線的方式也有兩種:自動布線及交互式布線,在自動布線之前, 可以用交互式預(yù)先對要求比較嚴格的線進行布線,輸入端與輸出端的邊線應(yīng)避免相鄰平行, 以免產(chǎn)生反射干擾。! X/ l. j- k1 K2 l- _* E. }5 F1 R* ^必要時應(yīng)加地線隔離,兩相鄰層的布線要互相垂直,平行容易產(chǎn)生寄生耦合。</p><p>  1.電源、地線的處理</p><p&

53、gt;  既使在整個PCB板中的布線完成得都很好,但由于電源、 地線的考慮不周到而引起的干擾,會使產(chǎn)品的性能下降,有時甚至影響到產(chǎn)品的成功率。所以對電、 地線的布線要認真對待,把電、地線所產(chǎn)生的噪音干擾降到最低限度,以保證產(chǎn)品的質(zhì)量。</p><p>  2.數(shù)字電路與模擬電路的共地處理</p><p>  數(shù)字電路的頻率高,模擬電路的敏感度強,對信號線來說,高頻的信號線盡可能遠離敏感的模

54、擬電路器件,對地線來說,整個PCB對外界只有一個結(jié)點,所以必須在PCB內(nèi)部進行處理數(shù)、模共地的問題,而在板內(nèi)部數(shù)字地和模擬地實際上是分開的它們之間互不相連,只是在PCB與外界連接的接口處(如插頭等)。數(shù)字地與模擬地有一點短接,請注意,只有一個連接點。也有在PCB上不共地的,這由系統(tǒng)設(shè)計來決定。</p><p>  3.信號線布在電(地)層上</p><p>  在多層印制板布線時,由于在信

55、號線層沒有布完的線剩下已經(jīng)不多,再多加層數(shù)就會造成浪費也會給生產(chǎn)增加一定的工作量,成本也相應(yīng)增加了,為解決這個矛盾,可以考慮在電(地)層上進行布線。首先應(yīng)考慮用電源層,其次才是地層。因為最好是保留地層的完整性。</p><p>  4.大面積導(dǎo)體中連接腿的處理</p><p>  在大面積的接地(電)中,常用元器件的腿與其連接,對連接腿的處理需要進行綜合的考慮,就電氣性能而言,元件腿的焊盤

56、與銅面滿接為好,但對元件的焊接裝配就存在一些不良隱患如:①焊接需要大功率加熱器。②容易造成虛焊點。所以兼顧電氣性能與工藝需要,做成十字花焊盤,稱之為熱隔離俗稱熱焊盤,這樣,可使在焊接時因截面過分散熱而產(chǎn)生虛焊點的可能性大大減少。多層板的接電(地)層腿的處理相同。</p><p>  5.布線中網(wǎng)絡(luò)系統(tǒng)的作用</p><p>  在許多CAD系統(tǒng)中,布線是依據(jù)網(wǎng)絡(luò)系統(tǒng)決定的。網(wǎng)格過密,通路雖

57、然有所增加,但步進太小,圖場的數(shù)據(jù)量過大,這必然對設(shè)備的存貯空間有更高的要求,同時也對象計算機類電子產(chǎn)品的運算速度有極大的影響,所以要有一個疏密合理的網(wǎng)格系統(tǒng)來支持布線的進行。</p><p>  標準元器件兩腿之間的距離為0.1英寸(2.54mm),所以網(wǎng)格系統(tǒng)的基礎(chǔ)一般就定為0.1英寸(2.54 mm)或小于0.1英寸的整倍數(shù),如:0.05英寸、0.025英寸、0.02英寸等。</p><

58、p>  6.設(shè)計規(guī)則檢查(DRC)</p><p>  布線設(shè)計完成后,需認真檢查布線設(shè)計是否符合設(shè)計者所制定的規(guī)則,同時也需確認所制定的規(guī)則是否符合印制板生產(chǎn)工藝的需求,一般檢查有如下幾個方面:</p><p>  1.線與線,線與元件焊盤,線與貫通孔,元件焊盤與貫通孔,貫通孔與貫通孔之間的距離是否合理,是否滿足生產(chǎn)要求。 </p><p>  2.電源線和

59、地線的寬度是否合適,電源與地線之間是否緊耦合(低的波阻抗)在PCB中是否還有能讓地線加寬的地方。 </p><p>  3.對于關(guān)鍵的信號線是否采取了最佳措施,如長度最短,加保護線,輸入線及輸出線被明顯地分開。 </p><p>  4.模擬電路和數(shù)字電路部分,是否有各自獨立的地線。 </p><p>  5.加在PCB中的圖形(如圖標、注標)是否會造成信號短<

60、;/p><p><b>  結(jié) 論</b></p><p>  在做本次設(shè)計的過程中,我感觸最深的當(dāng)屬查閱大量的設(shè)計資料了。為了讓自己的設(shè)計更加完善,查閱這方面的設(shè)計資料是十分必要的,同時也是必不可少的。 </p><p>  其次,在這次設(shè)計中,我們運用到了以前所學(xué)的專業(yè)課知識,如:制圖、匯編語言、模擬和數(shù)字電路知識等。雖然過去從未獨立應(yīng)用過它們

61、,但在學(xué)習(xí)的過程中帶著問題去學(xué)我發(fā)現(xiàn)效率很高,這是我做這次課程設(shè)計的又一收獲。</p><p>  最后,要做好一個設(shè)計,就必須做到:在設(shè)計程序之前,對所用單片機的內(nèi)部結(jié)構(gòu)有一個系統(tǒng)的了解,知道該單片機內(nèi)有哪些資源;要有一個清晰的思路和一個完整的軟件流程圖;在設(shè)計程序時,不能妄想一次就將整個程序設(shè)計好,反復(fù)修改、不斷改進是程序設(shè)計的必經(jīng)之路;要養(yǎng)成注釋程序的好習(xí)慣,一個程序的完美與否不僅僅是實現(xiàn)功能,而應(yīng)該讓人一

62、看就能明白你的思路,這樣也為資料的保存和交流提供了方便;在設(shè)計課程過程中遇到問題是很正常德,但我們應(yīng)該將每次遇到的問題記錄下來,并分析清楚,以免下次再碰到同樣的問題。</p><p><b>  參考文獻</b></p><p>  [1] 劉欣銘,張廣斌.LED顯示屏技術(shù)綜述[J].黑龍江電力,2003,25(4):294-296.</p><

63、p>  [2] 陽進.基于單片機LED顯示屏的漢子顯示[J].中國科技信息,2005,(12):112.</p><p>  [3] Mark Nelson著.瀟湘工作室譯.串行通信開發(fā)指南[M].中國水利水電出版社,2002.</p><p>  [4] 樓然苗.單片機課程設(shè)計與指導(dǎo)[M].北京航空航天大學(xué)出版社,2007.</p><p>  [5]

64、 韓潤平,陳小萍,點陣LED顯示屏控制系統(tǒng)[J].微計算機信息,2003,19(10):50-51</p><p>  [6] 易小龍.電力載波通信技術(shù)在遠程自動抄表系統(tǒng)中的應(yīng)用[J]. 應(yīng)用科技,2001, 28(1):17-18.</p><p>  [7] 潘超群.單片機控制技術(shù)在通信中的應(yīng)用MCS-51[M].北京:電子工業(yè)出版社,2008.</p><p

65、>  [8] 潘麗珍.電力線載波通信技術(shù)在遠程抄表系統(tǒng)集中器中的應(yīng)用研究[D].太原:太原理工大學(xué),2005.</p><p>  [9] 劉思久,王偉.基于P300芯片組的電力線載波通信模件開發(fā)[J].電器與儀表,2003, 40(456):37-41.</p><p>  [10] 康光華.電子技術(shù)基礎(chǔ) 模擬部分(第5版)[M].北京:高等教育出版社,2006.</p&

66、gt;<p>  [11] 蔣瑞蘭.低壓擴頻遠程電能集抄系統(tǒng)研究[D].蘭州:蘭州理工大學(xué),2006.</p><p>  [12] 溫明.基于公眾電話網(wǎng)的遠程數(shù)據(jù)采集系統(tǒng)的設(shè)計與實現(xiàn)[D].哈爾濱:哈爾濱工程大學(xué),2003.</p><p>  [13] 夏為民. 擴頻技術(shù)在電力線載波通信中的應(yīng)用研究[M].南京:南京理工大學(xué),2002.</p><p&

67、gt;  [14] 佟云峰.時鐘芯片DS12887在單片機系統(tǒng)中的應(yīng)用[J]. 昆明冶金高等??茖W(xué)校學(xué)報,2001, 17(1):44-46.</p><p>  [15] 劉剛,韓恒,郝紫陽.DS12887在測量儀表中的應(yīng)用[J].安康學(xué)院學(xué)報,2007,19(2):83-86.</p><p>  [16] 周向紅,范偉. DS12887實時時鐘芯片及應(yīng)用研究[J].企業(yè)技術(shù)開發(fā),20

68、06, 25(2):6-8</p><p><b>  致 謝</b></p><p>  歷時將近兩個月的時間終于將這篇論文寫完,在論文的寫作過程中遇到了無數(shù)的困難和障礙,都在同學(xué)和老師的幫助下度過了。尤其要強烈感謝我的論文指導(dǎo)老師**老師,他對我進行了無私的指導(dǎo)和幫助,不厭其煩的幫助進行論文的修改和改進。另外,在圖書館查找資料的時候,圖書館的老師也給我提供了很多方

69、面的支持與幫助。我要向他們的細心幫助和指導(dǎo)表示由衷的感謝。在這段時間里,我從他們身上不僅學(xué)到了許多的專業(yè)知識,更感受到他們工作中的兢兢業(yè)業(yè),生活中的平易近人。在此向幫助和指導(dǎo)過我的各位老師表示最中心的感謝!</p><p>  感謝這篇論文所涉及到的各位學(xué)者。本文引用了數(shù)位學(xué)者的研究文獻,如果沒有各位學(xué)者的研究成果的幫助和啟發(fā),我將很難完成本篇論文的寫作。</p><p>  感謝我的同學(xué)

70、和朋友,在我寫論文的過程中給予我了很多素材,還在論文的撰寫和排版等過程中提供熱情的幫助。</p><p>  借此機會感謝做畢業(yè)設(shè)計以來給我?guī)椭乃欣蠋?、同學(xué),你們的友誼是我人生的財富,是我生命中不可或缺的一部分。</p><p>  最后,中心的感謝**給我這個學(xué)習(xí)的機會,使我不論在理論知識還是在實際操作中都得到了提升。</p><p><b>  附

71、錄:1</b></p><p><b>  硬件原理圖</b></p><p><b>  程序清單</b></p><p>  ;************************;</p><p>  ; ;</p><

72、p>  ; 八路電壓測量顯示電路 ;</p><p>  ; ;</p><p>  ;************************;</p><p>  ;測量電壓最大為5V,顯示最大值為5.00V</p><p>  ;70H—77H存放采樣值,78H—7BH存放顯示數(shù)據(jù),依次為

73、個位、十位、百位、通道標志</p><p>  ;P3.5作單路顯示-循環(huán)顯示轉(zhuǎn)換用,P3.6作單路顯示時選擇通道用。?</p><p><b>  ;</b></p><p>  ;*************************************</p><p>  ;*

74、 *</p><p>  ;* 主程序和中斷程序入口 *</p><p>  ;* *</p><p>  ;*************************************</p><p>  ORG

75、 0000H</p><p>  LJMP START</p><p>  ORG 0003H</p><p><b>  RETI</b></p><p>  ORG 000BH</p><p><b>  RETI</b></p>&l

76、t;p>  ORG 0013H</p><p><b>  RETI</b></p><p>  ORG 001BH</p><p><b>  RETI</b></p><p>  ORG 0023H</p><p><b>  RE

77、TI</b></p><p>  ORG 002BH</p><p><b>  RETI</b></p><p><b>  ;</b></p><p>  ;*************************************</p><p> 

78、 ;* *</p><p>  ;* 初始化程序中的各變量 *</p><p>  ;* *</p><p>  ;*************************************</p&g

79、t;<p>  CLEARMEMIO: CLR A</p><p>  MOV P2,A</p><p>  MOV R0,#70H</p><p>  MOV R2,#0DH</p><p>  LOOPMEM: MOV @R0,A</p><p

80、>  INC R0</p><p>  DJNZ R2,LOOPMEM</p><p>  MOV 20H,#00H</p><p>  MOV A,#0FFH</p><p>  MOV P0,A</p><p>  MOV P1,A</p><

81、p>  MOV P3,A</p><p><b>  RET</b></p><p><b>  ;</b></p><p>  ;*************************************</p><p>  ;*

82、 *</p><p>  ;* 主 程 序 *</p><p>  ;* *</p><p>  ;*************************************</p><p>  START:

83、 LCALL CLEARMEMIO ;初始化</p><p>  MAIN: LCALL TEST ;測量一次</p><p>  LCALL DISPLAY ;顯示數(shù)據(jù)一次</p><p><b>  AJMPMAIN</b></p><

84、p>  NOP;PC值出錯處理</p><p><b>  NOP</b></p><p><b>  NOP</b></p><p>  LJMPSTART</p><p><b>  ;</b></p><p>  ;*********

85、****************************</p><p>  ;* 顯 示 控 制 程 序 *</p><p>  ;*************************************</p><p><b>  ;</b></p><p>  DISPLAY:

86、 JB 00H,DISP11 ;</p><p>  MOV R3,#08H ;8路信號循環(huán)顯示控制</p><p>  MOV R0,#70H ;顯示數(shù)據(jù)初址70H~77H</p><p>  MOV 7BH,#00H ;顯示通道路數(shù)初值</p><p>  D

87、ISLOOP1: LCALL TUNBCD ;顯示數(shù)據(jù)轉(zhuǎn)為三位BCD碼存入7AH、79H、78H(最大5.00v)</p><p>  MOV R2,#0FFH 每路顯示時間控制 4MS*255</p><p>  DISLOOP2: LCALL DISP 調(diào)四位顯示程序</p><p&g

88、t;  LCALL KEYWORK1 ;</p><p>  DJNZ R2,DISLOOP2 ;</p><p>  INC R0 ;顯示下一路</p><p>  INC 7BH ; 通道顯示數(shù)加一</p><p>  DJNZ R3,DISLOOP1&

89、lt;/p><p><b>  RET</b></p><p><b>  ;</b></p><p>  DISP11: MOV A,7BH ;</p><p>  SUBB A,#01H ;</p><p>  MO

90、V 7BH,A ;</p><p>  ADD A,#70H ;</p><p>  MOV R0,A ;</p><p>  DISLOOP11: LCALL TUNBCD 顯示數(shù)據(jù)轉(zhuǎn)為三位BCD碼存入7AH、79H、78H(最大5.00v)</p><

91、p>  MOV R2,#0FFH 每路顯示時間控制 4MS*25</p><p>  DISLOOP22: LCALL DISP 調(diào)四位顯示程序</p><p>  LCALL KEYWORK2 ;</p><p>  DJNZ R2,DISLOOP22</p><p>

92、  INC 7BH ;通道顯示數(shù)加一</p><p><b>  RET</b></p><p><b>  ;</b></p><p>  ;*************************************</p><p>  ;* 顯示數(shù)據(jù)轉(zhuǎn)為三位BCD

93、碼程序 *</p><p>  ;*************************************</p><p>  ;顯示數(shù)據(jù)轉(zhuǎn)為三位BCD碼存入7AH、79H、78H(最大值5.00v)</p><p><b>  ;</b></p><p>  TUNBCD: MOV

94、 A,@R0 ;255/51=5.00V運算</p><p>  MOV B,#51 ;</p><p>  DIV AB ;</p><p>  MOV 7AH,A ;個位數(shù)放入7AH</p><p>  MOV A,B

95、 ;余數(shù)大于19H,F0為1,乘法溢出,結(jié)果加5</p><p>  CLR F0</p><p>  SUBB A,#1AH</p><p>  MOV F0,C</p><p>  MOV A,#10 ;</p><p>  MUL AB

96、 ;</p><p>  MOV B,#51 ;</p><p>  DIV AB</p><p>  JB F0,LOOP2 ;</p><p>  ADD A,#5</p><p>  LOOP2: MO

97、V 79H,A ;小數(shù)后第一位放入79H</p><p>  MOV A,B</p><p>  CLR F0</p><p>  SUBB A,#1AH</p><p>  MOV F0,C</p><p>  MOV A,#10

98、;</p><p>  MUL AB ;</p><p>  MOV B,#51 ;</p><p>  DIV AB</p><p>  JB F0,LOOP3 ;</p><p>  ADD A,#5</p&

99、gt;<p>  LOOP3: MOV 78H,A ;小數(shù)后第二位放入78H</p><p><b>  RET</b></p><p><b>  ;</b></p><p>  ;*************************************<

100、;/p><p>  ;* 顯示 程 序 *</p><p>  ;*************************************</p><p>  ;共陽顯示子程序,顯示內(nèi)容在78H—7BH</p><p><b>  ;</b></p><p> 

101、 DISP: MOV R1,#78H ;共陽顯示子程序,顯示內(nèi)容在78H—7BH</p><p>  MOV R5,#0FEH ;數(shù)據(jù)在P1輸出,列掃描在P3.0-P3.3</p><p>  PLAY: MOV P1,#0FFH</p><p>  MOV A,R5<

102、;/p><p>  ANL P3,A</p><p>  MOV A,@R1</p><p>  MOV DPTR,#TAB</p><p>  MOVC A,@A+DPTR</p><p>  MOV P1,A</p><p>  JB P3.2,PLAY1

103、 ;小數(shù)點處理</p><p>  CLR P1.7 ;小數(shù)點顯示(顯示格式為XX.XX)</p><p>  PLAY1: LCALL DL1MS</p><p><b>  INC R1</b></p><p>  MOV A,P3</p>

104、<p>  JNB ACC.3,ENDOUT</p><p><b>  RL A</b></p><p>  MOV R5,A</p><p>  MOV P3,#0FFH</p><p>  AJMP PLAY</p><p>  ENDOUT:

105、 MOV P3,#0FFH</p><p>  MOV P1,#0FFH</p><p><b>  RET</b></p><p>  TAB: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H,0FFH</p><p><

106、b>  ;</b></p><p>  ;*************************************</p><p>  ;* 延時程序 *</p><p>  ;*************************************</p><p><b&g

107、t;  ;</b></p><p>  DL10MS: MOV R6,#0D0H ;10MS延時子程序</p><p>  DL1: MOV R7,#19H</p><p>  DL2: DJNZ R7,DL2</p><p>  DJNZ R6,DL1<

108、/p><p><b>  RET</b></p><p><b>  ;</b></p><p>  DL1MS: MOV R4,#0FFH ;513+513=1MS</p><p>  LOOP11: DJNZ R4,LOOP11</p>

109、<p>  MOV R4,#0FFH</p><p>  LOOP22: DJNZ R4,LOOP22</p><p><b>  RET</b></p><p><b>  ;</b></p><p>  ;***********************

110、**************</p><p>  ;* ? 電壓測量(A/D)子程序 *</p><p>  ;*************************************</p><p>  ; 一次測量數(shù)據(jù)8個,依次放入70H-77H單元中</p><p><b>  ;</b><

111、;/p><p>  TEST: CLR A ;模數(shù)轉(zhuǎn)換子程序</p><p>  MOV P2,A</p><p>  MOV R0,#70H ;轉(zhuǎn)換值存放首址</p><p>  MOV R7,#08H ;轉(zhuǎn)換8次控制</p><p>  

112、LCALL TESTART ;啟動測試</p><p>  WAIT: JB P3.7,MOVD ;等A/D轉(zhuǎn)換結(jié)束信號</p><p>  AJMP WAIT</p><p><b>  ;</b></p><p>  TESTART: SETB P2.

113、3 ;測試啟動</p><p><b>  NOP</b></p><p><b>  NOP</b></p><p>  CLR P2.3</p><p>  SETB P2.4</p><p><b>  NOP</b>&l

114、t;/p><p><b>  NOP</b></p><p>  CLR P2.4</p><p><b>  NOP</b></p><p><b>  NOP</b></p><p><b>  NOP</b></p&

115、gt;<p><b>  NOP</b></p><p><b>  RET</b></p><p><b>  ;</b></p><p>  MOVD: SETB P2.5 ;取A/D轉(zhuǎn)換數(shù)據(jù)</p><p>  MOV

116、 A,P0</p><p>  MOV @R0,A</p><p>  CLR P2.5</p><p>  INC R0</p><p>  MOV A,P2 ;通道地址加1</p><p><b>  INC A</b></p&g

117、t;<p>  MOV P2,A</p><p>  CJNE A,#08H,TESTEND ;等8路A/D轉(zhuǎn)換結(jié)束</p><p>  TESTEND: JC TESTCON</p><p>  CLR A ;結(jié)束恢復(fù)端口</p><p>  

118、MOV P2,A</p><p>  MOV A,#0FFH</p><p>  MOV P0,A</p><p>  MOV P1,A</p><p>  MOV P3,A</p><p><b>  RET</b></p><p>

119、;<b>  ;</b></p><p>  TESTCON: LCALL TESTART</p><p>  LJMP WAIT</p><p><b>  ;</b></p><p>  ;*************************************<

120、/p><p>  ;* ? 按鍵檢測子程序 *</p><p>  ;*************************************</p><p><b>  ;</b></p><p>  KEYWORK1: JNB P3.5,KEY1</p>

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論