2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩52頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、<p><b>  畢業(yè)設(shè)計(論文)</b></p><p>  學(xué) 院: 電氣工程學(xué)院 </p><p>  題 目: 基于單片機的多參數(shù)采集系統(tǒng)的設(shè)計 </p><p>  學(xué) 生 姓 名: </p>

2、;<p>  專 業(yè) 班 級: </p><p>  指 導(dǎo) 老 師: </p><p>  教研室主任 : </p><p>  院 長 : </p><p>

3、  2011年12月16日</p><p>  基于單片機的多參數(shù)據(jù)采集系統(tǒng)設(shè)計</p><p>  The Design Of Multi-channel Data Acquisition System Based On SCM</p><p><b>  摘要</b></p><p>  本文介紹了基于單片機的數(shù)據(jù)采

4、集的硬件設(shè)計和軟件設(shè)計,數(shù)據(jù)采集系統(tǒng)是模擬域與數(shù)字域之間必不可少的紐帶,它的存在具有著非常重要的作用。本文介紹的重點是數(shù)據(jù)采集系統(tǒng),而該系統(tǒng)硬件部分的重心在于單片機。數(shù)據(jù)采集與通信控制采用了模塊化的設(shè)計,數(shù)據(jù)采集與通信控制采用了單片機STC89C52來實現(xiàn),硬件部分是以單片機為核心,還包括A/D模數(shù)轉(zhuǎn)換模塊,顯示模塊,和串行接口部分。該系統(tǒng)從機負責(zé)數(shù)據(jù)采集并應(yīng)答主機的命令。8路被測電壓通過模數(shù)轉(zhuǎn)換器ADC0809進行模數(shù)轉(zhuǎn)換,實現(xiàn)對采集

5、到的數(shù)據(jù)進行模擬量到數(shù)字量的轉(zhuǎn)換,并將轉(zhuǎn)換后的數(shù)據(jù)通過PL2303傳輸?shù)缴衔粰C,由上位機負責(zé)數(shù)據(jù)的接受、處理和顯示,并用LED數(shù)碼顯示器來顯示所采集的結(jié)果。軟件部分應(yīng)用KEIL編寫控制軟件,對數(shù)據(jù)采集系統(tǒng)、模數(shù)轉(zhuǎn)換系統(tǒng)、數(shù)據(jù)顯示、數(shù)據(jù)通信等程序進行了設(shè)計。</p><p>  關(guān)鍵詞:數(shù)據(jù)采集 89C52單片機 ADC0809 PL2303</p><p><b>  Ab

6、stract</b></p><p>  This article describes the hardware design and software design of the data on which based on signal-chip microcomputer .The data collection system is the link between the digital do

7、main and analog domain. It has an very important function. The introductive point of this text is a data to collect the system. The hardware of the system focuses on signal-chip microcomputer .Data collection and communi

8、cation control use modular design. The data collected to control with correspondence to adopt a mac</p><p>  Keyword: data acquisition STC89C52 ADC0809 PL2303</p><p><b>  目錄</b&

9、gt;</p><p><b>  第一章 緒論1</b></p><p>  1.1 研究背景及其目的意義1</p><p>  1.2 國內(nèi)外研究現(xiàn)狀2</p><p>  1.3 該課題研究的主要內(nèi)容內(nèi)容2</p><p>  第二章 數(shù)據(jù)采集4</p><p

10、>  2.1 數(shù)據(jù)采集系統(tǒng)4</p><p>  2.2 方案論證5</p><p>  2.2.1 A/D模數(shù)轉(zhuǎn)換的選擇5</p><p>  2.2.2單片機的選擇5</p><p>  2.2.3 串行口的選擇5</p><p>  2.2.4 顯示部分6</p><p>

11、;  2.2.5 按鍵6</p><p>  第三章 硬件部分8</p><p>  3.1 主機部分7</p><p>  3.1.1 主機部分原理圖設(shè)計8</p><p>  3.1.2 單片機8</p><p>  3.1.3 LED顯示原理11</p><p>  3.1.4

12、 簡介PL230312</p><p>  3.2 傳感器介紹17</p><p>  3.2.1 壓力傳感器SGY-217</p><p>  3.2.2 差壓傳感器SZRR1920</p><p>  3.2.3 流量傳感器CLL-DE-0822</p><p>  3.2.4 溫度傳感器Rt10024

13、</p><p>  3.3 模數(shù)轉(zhuǎn)換器ADC080927</p><p>  3.4 報警電路29</p><p>  第四章 軟件部分29</p><p>  4.1 簡介KeilUvision229</p><p>  4.2程序設(shè)計34</p><p>  第五章 調(diào)試結(jié)果

14、37</p><p><b>  參考文獻38</b></p><p>  附錄1 硬件電路圖39</p><p><b>  附錄2 程序40</b></p><p><b>  第一章 緒論</b></p><p>  1.1 研究背景及其目

15、的意義</p><p>  近年來,數(shù)據(jù)采集及其應(yīng)用受到了人們越來越廣泛的關(guān)注,數(shù)據(jù)采集系統(tǒng)也有了迅速的發(fā)展,它可以廣泛的應(yīng)用于各種領(lǐng)域。</p><p>  數(shù)據(jù)采集系統(tǒng)起始于20世紀(jì)50年代,1956年美國首先研究了用在軍事上的測試系統(tǒng),目標(biāo)是測試中不依靠相關(guān)的測試文件,由非成熟人員進行操作,并且測試任務(wù)是由測試設(shè)備高速自動控制完成的。由于該種數(shù)據(jù)采集測試系統(tǒng)具有高速性和一定的靈活性,

16、可以滿足眾多傳統(tǒng)方法不能完成的數(shù)據(jù)采集和測試任務(wù),因而得到了初步的認可。大概在60年代后期,國內(nèi)外就有成套的數(shù)據(jù)采集設(shè)備和系統(tǒng)多屬于專用的系統(tǒng)。</p><p>  20世紀(jì)70年代后期,隨著微型機的發(fā)展,誕生了采集器、儀表同計算機溶為一體的數(shù)據(jù)采集系統(tǒng)。由于這種數(shù)據(jù)采集系統(tǒng)的性能優(yōu)良,超過了傳統(tǒng)的自動檢測儀表和專用數(shù)據(jù)采集系統(tǒng),因而獲得了驚人的發(fā)展。從70年代起,數(shù)據(jù)采集系統(tǒng)發(fā)展過程中逐漸分為兩類,一類是實驗室

17、數(shù)據(jù)采集系統(tǒng),一類是工業(yè)現(xiàn)場數(shù)據(jù)采集系統(tǒng)。</p><p>  20世紀(jì)80年代隨著計算機的普及應(yīng)用,數(shù)據(jù)采集系統(tǒng)得到了很大的發(fā)展,開始出現(xiàn)了通用的數(shù)據(jù)采集與自動測試系統(tǒng)。該階段的數(shù)據(jù)采集系統(tǒng)主要有兩類,一類以儀表儀器和采集器、通用接口總線和計算機組成。這類系統(tǒng)主要應(yīng)用于實驗室,在工業(yè)生產(chǎn)現(xiàn)場也有一定的應(yīng)用。第二類以數(shù)據(jù)采集卡、標(biāo)準(zhǔn)總線和計算機構(gòu)成,這一類在工業(yè)現(xiàn)場應(yīng)用較多。20世紀(jì)80年代后期,數(shù)據(jù)采集發(fā)生了很

18、大的變化,工業(yè)計算機、單片機和大規(guī)模集成電路的組合,用軟件管理,是系統(tǒng)的成本減低,體積變小,功能成倍增加,數(shù)據(jù)處理能力大大加強。</p><p>  20世紀(jì)90年代至今,在國際上技術(shù)先進的國家,數(shù)據(jù)采集系統(tǒng)已成功的運用到軍事、航空電子設(shè)備及宇航技術(shù)、工業(yè)等領(lǐng)域。由于集成電路制造技術(shù)的不斷提高,出現(xiàn)了高性能、高可靠的單片機數(shù)據(jù)采集系統(tǒng)(DAS)。數(shù)據(jù)采集技術(shù)已經(jīng)成為一種專門的技術(shù),在工業(yè)領(lǐng)域得到了廣泛的應(yīng)用。該階

19、段的數(shù)據(jù)采集系統(tǒng)采用模塊式結(jié)構(gòu),根據(jù)不同的應(yīng)用要求,通過簡單的增加和更改模塊,并結(jié)合系統(tǒng)編程,就可擴展或修改系統(tǒng),迅速組成一個新的系統(tǒng)。</p><p>  盡管現(xiàn)在以微機為核心的可編程數(shù)據(jù)采集與處理采集技術(shù)的發(fā)展方向得到了迅速的發(fā)展,而且組成一個數(shù)據(jù)采集系統(tǒng)只需要一塊數(shù)據(jù)采集卡,把它插在微機的擴展槽內(nèi)并輔以應(yīng)用軟件,就能實現(xiàn)數(shù)據(jù)采集功能,但這并不會對基于單片機為核心的數(shù)據(jù)采集系統(tǒng)產(chǎn)生影響。相較于數(shù)據(jù)采集板卡成本

20、和功能的限制,單片機具多功能、高效率、高性能、低電壓、低功耗、低價格等優(yōu)點,而雙單片機又具有精度較高、轉(zhuǎn)換速度快、能夠?qū)Χ帱c同時進行采集,因此能夠開發(fā)出能滿足實際應(yīng)用要求的、電路結(jié)構(gòu)簡單的、可靠性高的數(shù)據(jù)采集系統(tǒng)。這就使得以單片機為核心的數(shù)據(jù)采集系統(tǒng)在許多領(lǐng)域得到了廣泛的應(yīng)用。</p><p>  1.2 國內(nèi)外研究現(xiàn)狀</p><p>  數(shù)據(jù)采集系統(tǒng)是通過采集傳感器輸出的模擬信號并轉(zhuǎn)換

21、成數(shù)字信號,并進行分析、處理、傳輸、顯示、存儲和顯示。它起始于20世紀(jì)中期,在過去的幾十年里,隨著信息領(lǐng)域各種技術(shù)的發(fā)展,在數(shù)據(jù)采集方面的技術(shù)也取得了長足的進步,采集數(shù)據(jù)的信息化是目前社會的發(fā)展主流方向。各種領(lǐng)域都用到了數(shù)據(jù)采集,在石油勘探、科學(xué)實驗、飛機飛行、地震數(shù)據(jù)采集領(lǐng)域已經(jīng)得到應(yīng)用。</p><p>  我國的數(shù)字地震觀測系統(tǒng)主要采用TDE-124C型TDE-224C型地震數(shù)據(jù)采集系統(tǒng)。近年來,又成功研制

22、了動態(tài)范圍更大、線性度更高、兼容性更強、低功耗可靠性的TDE-324C型地震數(shù)據(jù)采集系統(tǒng)。該數(shù)據(jù)采集對拾震計輸出的電信號模擬放大后送至A/D數(shù)字化,A/D采用同時采樣,采樣數(shù)據(jù)經(jīng)DSP數(shù)字濾波處理后,變成數(shù)字地震信號。該數(shù)據(jù)采集系統(tǒng)具備24位A/D轉(zhuǎn)化位數(shù),采樣率有50HZ、100HZ、200HZ。</p><p>  由美國PASCO公司生產(chǎn)的“科學(xué)工作室”是將數(shù)據(jù)采集應(yīng)用于物理實驗的嶄新系統(tǒng),它由3部分組成:

23、(1)傳感器:利用先進的傳感技術(shù)可實時采集技術(shù)可實時采集物理實驗中各物理量的數(shù)據(jù);(2)計算機接口:將來自傳感器的數(shù)據(jù)信號輸入計算機,采樣速率最高為25萬次/S;(3)軟件:中文及英文的應(yīng)用軟件。</p><p>  受需求牽引,新一代機載數(shù)據(jù)采集系統(tǒng)為滿足飛行實驗應(yīng)用也在快速地發(fā)展。如愛爾蘭ACRA公司2000年研發(fā)推出的新一代KAM500機載數(shù)據(jù)采集系統(tǒng)到了2006年。本系統(tǒng)采用16位(A/D)模擬數(shù)字變換,

24、總采樣率達500K/S,同步時間為+/-250ns,可以利用方式組成高達1000通道的大容量的分布式采集系統(tǒng)。</p><p>  1.3 該課題研究的主要內(nèi)容內(nèi)容</p><p>  數(shù)據(jù)采集技術(shù)是信息科學(xué)的重要分支之一, 它研究信息數(shù)據(jù)的采集、存儲、處理以及控制等問題。它是對傳感器信號的測量與處理, 以微型計算機等高技術(shù)為基礎(chǔ)而形成的一門綜合應(yīng)用技術(shù)。數(shù)據(jù)采集也是從一個或多個信號獲取對

25、象信息的過程。隨著微型計算機技術(shù)的飛速發(fā)展和普及,數(shù)據(jù)采集監(jiān)測已成為日益重要的檢測技術(shù),廣泛應(yīng)用于工農(nóng)業(yè)等需要同時監(jiān)控溫度、濕度和壓力等場合。數(shù)據(jù)采集是工業(yè)控制等系統(tǒng)中的重要環(huán)節(jié),通常采用一些功能相對獨立的單片機系統(tǒng)來實現(xiàn),作為測控系統(tǒng)不可缺少的部分,數(shù)據(jù)采集的性能特點直接影響到整個系統(tǒng)。 </p><p>  盡管現(xiàn)在以微機為核心的可編程數(shù)據(jù)采集與處理技術(shù)作為數(shù)據(jù)采集技術(shù)的發(fā)展方向得到了迅速的發(fā)展,并且適于通用

26、微機(如IBM PC 系列) 使用的板卡級數(shù)據(jù)采集產(chǎn)品也已大量出現(xiàn),組成一個數(shù)據(jù)采集系統(tǒng)簡單到只需要一塊數(shù)據(jù)采集卡,把它插在微機的擴展槽內(nèi),并輔以應(yīng)用軟件,就能實現(xiàn)數(shù)據(jù)采集功能,但這并不會對基于單片機為核心的數(shù)據(jù)采集系統(tǒng)產(chǎn)生影響,因為單片機功能強大、抗干擾能力強、可靠性高、靈活性好、開發(fā)容易等優(yōu)點,使得基于單片機為核心的數(shù)據(jù)采集系統(tǒng)在許多領(lǐng)域得到了廣泛的應(yīng)用.</p><p>  傳統(tǒng)的基于單片機的數(shù)據(jù)采集系統(tǒng)由

27、于沒有上位機的支持,不管采用什么樣的數(shù)據(jù)存儲器,它的存儲容量都是有限的,所以不得不對存儲的歷史數(shù)據(jù)進行覆蓋刷新,這樣不利于用戶對數(shù)據(jù)進行整體分析,因而也不能對生產(chǎn)過程的狀況進行準(zhǔn)確的把握。</p><p>  本系統(tǒng)采用下位機負責(zé)模擬數(shù)據(jù)的采集,從單片機負責(zé)采集八路數(shù)據(jù),并應(yīng)答主機發(fā)送的命令,上位機即主機是負責(zé)處理接受過來的數(shù)字量的處理及顯示,主機和從機之間用USB進行通信。這樣用戶可以在上位機上編寫各種程序?qū)ξ?/p>

28、件中的數(shù)據(jù)進行有效查詢和分析,有利于工業(yè)過程的長期正常運行和檢查。該系統(tǒng)采用的是STC89C52單片機,此芯片功能比較強大,能夠滿足設(shè)計要求。</p><p><b>  第二章 數(shù)據(jù)采集</b></p><p>  2.1 數(shù)據(jù)采集系統(tǒng)</p><p>  數(shù)據(jù)采集,又稱數(shù)據(jù)獲取,是利用一種裝置,從系統(tǒng)外部采集數(shù)據(jù)并輸入到系統(tǒng)內(nèi)部的一個接口

29、。數(shù)據(jù)采集技術(shù)廣泛引用在各個領(lǐng)域。</p><p>  70年代初,隨著計算機技術(shù)及大規(guī)模集成電路的發(fā)展,特別是微處理器及高速A/D轉(zhuǎn)換器的出現(xiàn),數(shù)據(jù)采集系統(tǒng)結(jié)構(gòu)發(fā)生了重大變革。原來由小規(guī)模集成的數(shù)字邏輯電路及硬件程序控制器組成的采集系統(tǒng)被微處理器控制的采集系統(tǒng)所代替。由微處理器去完成程序控制,數(shù)據(jù)處理及大部分邏輯操作,使系統(tǒng)的靈活性和可靠性大大地提高,系統(tǒng)硬件成本和系統(tǒng)的重建費用大大地降低。</p>

30、<p>  在該系統(tǒng)中需要將模擬量轉(zhuǎn)換為數(shù)據(jù)量,而 A/D是將模擬量轉(zhuǎn)換為數(shù)字量的器件,他需要考慮的指標(biāo)有:分辨率、轉(zhuǎn)換時間、轉(zhuǎn)換誤差等等。而單片機是該系統(tǒng)的基本的微處理系統(tǒng),它完成數(shù)據(jù)讀取、處理及邏輯控制,數(shù)據(jù)傳輸?shù)纫幌盗械娜蝿?wù)。在該系統(tǒng)中采用的是8051系列的單片機。雙機通信可以采用USB接口,由芯片PL2303實現(xiàn)雙機的通信。而數(shù)據(jù)的顯示則采用的是LED數(shù)碼管,該器件比較簡單,在生活中接觸也較多。</p>

31、<p>  數(shù)據(jù)采集系統(tǒng)一般由信號調(diào)理電路,多路切換電路,采樣保持電路,A/D,單片機等組成。</p><p>  完成畢業(yè)設(shè)計所需要的系統(tǒng)框圖如圖2.1所示:</p><p><b>  圖2.1 系統(tǒng)框圖</b></p><p><b>  2.2 方案論證</b></p><p>

32、;  2.2.1 A/D模數(shù)轉(zhuǎn)換的選擇</p><p>  A/D轉(zhuǎn)換器的種類很多,就位數(shù)來說,可以分為8位、10位、12位和16位等。位數(shù)越高其分辨率就越高,價格也就越貴。A/D轉(zhuǎn)換器型號很多,而其轉(zhuǎn)換時間和轉(zhuǎn)換誤差也各不相同。</p><p>  (1)逐漸逼近式A/D轉(zhuǎn)換器:它是一種速度快、精度較高、成本較低的直接式轉(zhuǎn)換器,其轉(zhuǎn)換時間在幾微秒到幾百微秒之間。</p>&

33、lt;p>  (2)雙積分A/D轉(zhuǎn)換器:它是一種間接式的A/D轉(zhuǎn)換器,優(yōu)點是抗干擾能力強,精度比較高,缺點是數(shù)度很慢,適用于對轉(zhuǎn)換數(shù)度要求不高的系統(tǒng)。</p><p>  (3)并行式A/D轉(zhuǎn)換器:它又被稱為flash(快速)型,它的轉(zhuǎn)換數(shù)度很高,但她采用了很多個比較器,而n位的轉(zhuǎn)換就需要2n-1個比較器,因此電路規(guī)模也極大,價格也很貴,只適用于視頻A/D轉(zhuǎn)換器等數(shù)度特別高的領(lǐng)域。</p>&

34、lt;p>  鑒于上面三種方案,在價格、轉(zhuǎn)換速度等多種標(biāo)準(zhǔn)考量下,在本設(shè)計選用的是逐漸逼近式A/D轉(zhuǎn)換器——ADC0809.</p><p>  2.2.2單片機的選擇</p><p>  單片機是一種面向大規(guī)模的集成電路芯片,是微型計算機中的一個重要的分支。此系統(tǒng)是由CPU、隨即存取數(shù)據(jù)存儲器、只讀程序存儲器、輸入輸出電路(I/O口),還有可能包括定時/計數(shù)器、串行通信口、顯示驅(qū)動

35、電路(LCD和LED驅(qū)動電路)、脈寬調(diào)制電路、模擬多路轉(zhuǎn)換器及A/D轉(zhuǎn)換器等電路集成到一個單塊芯片上,構(gòu)成了一個最小但完善的計算機任務(wù)。單片機要使用特定的組譯和編譯軟件編譯程序,在用keiluvision2把程序下載到單片機內(nèi)。</p><p>  而本設(shè)計選用的是STC89C52.</p><p>  2.2.3 串行口的選擇</p><p>  該串行口我選用了

36、標(biāo)準(zhǔn)USB接口,USB接口方便流行。常用的芯片是PL2303,PL2303的優(yōu)點是:</p><p> ?。?)一片芯片可以完成發(fā)送轉(zhuǎn)換和接收轉(zhuǎn)換的雙重功能。</p><p> ?。?)單一電源+5V供電</p><p>  (3)它的電路設(shè)計與連接比較簡單而且功能齊全。</p><p>  2.2.4 顯示部分</p><

37、;p>  LED數(shù)碼顯示管是一種由LED發(fā)光二極管組合顯示字符的顯示器件。它使用了8個LED顯示管,其中7個用于顯示字符,1個用來顯示小數(shù)點,故通常稱之為八段發(fā)光二極管數(shù)碼顯示器。對LED數(shù)碼顯示器的控制可以采用按時間向它提供具有一定驅(qū)動能力的位選和段選信號。LED數(shù)碼顯示有動態(tài)掃描顯示法和靜態(tài)顯示。在單片機中,為了節(jié)省硬件資源,多采用動態(tài)掃描顯示法。</p><p><b>  2.2.5 按鍵

38、</b></p><p>  鍵盤是一種常見的輸入設(shè)備,用戶可以向計算機輸入數(shù)據(jù)或命令。根據(jù)案件的識別方法分類,有編碼鍵盤和非編碼鍵盤兩種。通過硬件識別的鍵盤稱編碼鍵盤;通過軟件識別的鍵盤成為非編碼鍵盤。非編碼鍵盤有兩種接口方法:一種是獨立按鍵接口;另一種是矩陣式按鍵接口。</p><p><b>  1、獨立按鍵接口</b></p><

39、;p>  在單片機中,如果所需的按鍵較少,可采用獨立式鍵盤。每只按鍵接單片機的一條I/O線,通過對線的查詢,即可識別各按鍵的狀態(tài)。如圖2.2所示。4只按鍵分別宇單片機的P1.0~P1.3I/O線上。無按鍵按下時,P1.0~P1.3線上均輸入高電平。當(dāng)某按鍵按下時,與其相連的I/O線將得到低電平輸入。</p><p>  圖2.2 獨立按鍵接口圖</p><p><b>  

40、2.矩陣式按鍵接口</b></p><p>  在單片機中需要的按鍵較多時,通常把鍵排成矩陣形式,這樣可以節(jié)省硬件資源。如對于20只按鍵接口,如采用按鍵獨立方式,需要20個I/O口。如采用矩陣式按鍵方式,則只需要9個I/O 口。如圖2.3所示。單片機系統(tǒng)中的非編碼式鍵盤程序主要由判別是否有鍵按下子程序、鍵的識別子程序、找到閉合鍵后,讀入相應(yīng)的鍵值,再轉(zhuǎn)到相應(yīng)的鍵處理程序幾個部分組成。</p>

41、;<p>  圖2.3 矩陣式按鍵接口圖</p><p>  在該系統(tǒng)中所用到的按鍵有4個,所以采取獨立按鍵接口方式。</p><p><b>  第三章 硬件部分</b></p><p><b>  3.1 主機部分 </b></p><p>  該系統(tǒng)是一個單片機進行數(shù)據(jù)采集,它的

42、主機部分負責(zé)數(shù)據(jù)處理和顯示,主機和從機之間用USB進行通信。它由STC89C52、PL2303、LED數(shù)碼顯示器組成。</p><p>  3.1.1 主機部分原理圖設(shè)計</p><p>  主機要負責(zé)對采集到的數(shù)據(jù)進行處理和LED顯示,用一個74HC138與單片機STC89C52的P0.0,P0.1,P0.2口相連用于位選。單片機的P1口的8位數(shù)據(jù)線與用于段選的74HC573的D0~D7

43、相連,用于數(shù)碼管上具體的數(shù)字的顯示。單片機與電腦的接口選擇USB口PL2303來連接主機和從機,將PL2303的1、5腳分別與單片機STC89C52的P3.1,P3.2腳相連。單片機STC89C52的18、19腳與它的晶振電路相連,第9腳與它的復(fù)位電路相連。其原理圖如圖3.1所示</p><p>  圖3.1 主機部分電路原理圖</p><p><b>  3.1.2 單片機&l

44、t;/b></p><p><b>  (1)單片機的概述</b></p><p>  單片機是一種集成的電路芯塊采用了超大規(guī)模技術(shù)把具有運算能力(如算術(shù)運算、邏輯運算、數(shù)據(jù)傳送、中斷處理)的微處理器(CPU),隨機存取數(shù)據(jù)存儲器(RAM),只讀程序存儲器(ROM),輸入輸出電路(I/O口),可能還包括定時計數(shù)器,串行通信口(SCI),顯示驅(qū)動電路(LCD或LE

45、D驅(qū)動電路),脈寬調(diào)制電路(PWM),模擬多路轉(zhuǎn)換及A/D轉(zhuǎn)換器等電路集成到一塊單片機上,構(gòu)成一個最小然而很完善的計算機系統(tǒng)。這些電路能在軟件的控制下準(zhǔn)確快速的完成程序設(shè)計者事先規(guī)定的任務(wù)??偟亩詥纹瑱C的特點可以歸納為以下幾個方面:集成度高、存儲容量大、外部擴展能力強、控制功能強、低電壓、低功耗、性能價格比高、可靠性高這幾個方面。[8]</p><p>  單片機按內(nèi)部數(shù)據(jù)通道的寬度,可分為4位、8位、16位及

46、32位單片機。它們被應(yīng)用在不同領(lǐng)域里,8位單片機由于功能強大,被廣泛的應(yīng)用在工業(yè)控制、智能接口、儀表儀器等各個領(lǐng)域。8位單片機在中、小規(guī)模應(yīng)用場合仍占主流地位,代表了單片機的發(fā)展方向,在單片機應(yīng)用領(lǐng)域發(fā)揮越來越大的作用。隨著移動通訊、網(wǎng)絡(luò)技術(shù)、多媒體技術(shù)等高科技產(chǎn)品進入家庭,32位單片機應(yīng)用得到了長足發(fā)展??v觀單片機的發(fā)展過程,可以預(yù)示單片機的發(fā)展趨勢:</p><p><b>  微型單片化</

47、b></p><p><b>  低功耗CMOS</b></p><p><b>  3、與多品種共存</b></p><p>  4、可靠性和應(yīng)用水平越來越高</p><p>  單片機有著微處理器所不具備的功能,它可以獨立地完成現(xiàn)代工業(yè)控制所要求的智能化控制功能這就是單片機的最大特點。然而

48、單片機又不同于單板機,芯片在沒有開發(fā)前,它只是具備功能極強的超大規(guī)模集成電路,如果賦予它特定的程序,它便是一個最小的、完整的微機控制系統(tǒng)。它與單板機或個人電腦有著本質(zhì)的區(qū)別,單片機屬于芯片級應(yīng)用,需要用戶了解單片機芯片的結(jié)構(gòu)和指令系統(tǒng)以及其它集成電路應(yīng)用技術(shù)和系統(tǒng)設(shè)計所需要的理論和技術(shù),用這樣特定的芯片設(shè)計應(yīng)用程序,從而使芯片具備特定的智能。[8]</p><p> ?。?) 簡介STC89C52</p&g

49、t;<p>  STC89C52是一種低功耗、高性能CMOS8位微控制器,具有8K 在系統(tǒng)可編程Flash 存儲器。使用Atmel 公司高密度非易失性存儲器技術(shù)制造,與工業(yè)80C51 產(chǎn)品指令和引腳完全兼容。片上Flash允許程序存儲器在系統(tǒng)可編程,亦適于常規(guī)編程器。在單芯片上,擁有靈巧的8 位CPU 和在系統(tǒng)可編程Flash,使STC89C52為眾多嵌入式控制應(yīng)用系統(tǒng)提供高靈活、超有效的解決方案。[8]</p>

50、;<p>  STC89C52具有以下標(biāo)準(zhǔn)功能: 8k字節(jié)Flash,256字節(jié)RAM,32 位I/O 口線,看門狗定時器,2 個數(shù)據(jù)指針,三個16 位定時器/計數(shù)器,一個6向量2級中斷結(jié)構(gòu),全雙工串行口,片內(nèi)晶振及時鐘電路。另外,STC89C52 可降至0Hz 靜態(tài)邏輯操作,支持2種軟件可選擇節(jié)電模式。空閑模式下,CPU停止工作,允許RAM、定時器/計數(shù)器、串口、中斷繼續(xù)工作。掉電保護方式下,RAM內(nèi)容被保存,振蕩器被凍

51、結(jié),單片機一切工作停止,直到下一個中斷或硬件復(fù)位為止。[8]其引腳圖,如圖3.2所示</p><p>  圖3.2 STC89C52的引腳圖</p><p>  它一共有40個引腳,引腳又分為四類。其中有四個電源引腳,用來接入單片機的工作電源。工作電源又分主電源、備用電源和編程電源。還有兩個時鐘引腳XTAL1、XTAL2。還有由P0口、P1口、P2口、P3口的所有引腳構(gòu)成的單片機的輸入/輸

52、出(I\O)引腳。最后一種是控制引腳,控制引腳有四條,部分引腳具有復(fù)位功能。</p><p>  綜上所述,單片機的引腳特點是:</p><p>  單片機多功能,少引腳,使得引腳復(fù)用現(xiàn)象較多。</p><p>  單片機具有四種總線形式:P0和P2組成的16位地址地址總線;P0分時復(fù)用為8位數(shù)據(jù)總線;ALE、PSEN、RST、EA和P3口的INT0、INT1、T0

53、、T1、WR、RD以及P1口的T2、T2EX組成控制總線;而P3口的RXD、TXD組成串行通信總線。</p><p>  89C52單片機的主要功能</p><p>  ?與MCS-51單片機產(chǎn)品兼容</p><p>  8K字節(jié)在系統(tǒng)可編程Flash存儲器</p><p>  ?1000次擦寫周期</p><p> 

54、 ?全靜態(tài)操作:0Hz~33Hz</p><p>  ?三級加密程序存儲器</p><p>  ?32個可編程I/O口線</p><p>  ?三個16位定時器/計數(shù)器</p><p><b>  ?八個中斷源</b></p><p>  ?全雙工UART串行通道</p><p

55、>  ?低功耗空閑和掉電模式</p><p><b>  ?掉電后中斷可喚醒</b></p><p><b>  ?看門狗定時器</b></p><p><b>  ?雙數(shù)據(jù)指針</b></p><p><b>  ?掉電標(biāo)識符</b></p&

56、gt;<p>  3.1.3 LED數(shù)碼顯示器的應(yīng)用原理</p><p>  簡單的講,LED數(shù)碼顯示器就是由發(fā)光二極管組成的,其內(nèi)部結(jié)構(gòu)如圖3.3所示,LED數(shù)碼顯示器有兩種連接方式:</p><p> ?。?)共陰極接法:把發(fā)光二極管的陰極連在一起構(gòu)成公共陰極,使用時公共陰極接地。每個發(fā)光二極管的陽極與輸入端相連。如圖3.4所示</p><p> 

57、 (2)共陽極接法。把發(fā)光二極管的陽極連在一起構(gòu)成公共陽極,使用時公共陽極接+5V,每個發(fā)光二極管的陰極通過電阻與輸入端相連。如圖3.5所示</p><p>  圖3.2 LED內(nèi)部結(jié)構(gòu) 圖3.3 共陰極接法 圖3.4 共陽極接法</p><p>  為了顯示字符,要為LED顯示器提供顯示段碼(或稱字形代碼),組成一個“8”字的七段,再加上1個小數(shù)點位

58、,共計八段。各段位碼位的對應(yīng)關(guān)系如表3.1所示。[8]</p><p>  表3.1 段位碼對應(yīng)關(guān)系</p><p>  3.1.4簡介PL2303</p><p><b>  一、產(chǎn)品特性: </b></p><p>  1. 完全符合 USB 規(guī)范 2.0(全速兼容) </p><p> 

59、 2. 片內(nèi)擁有 USB 1.1 收發(fā)器,5V 轉(zhuǎn) 3.3V 的穩(wěn)壓器,12 MHz 的晶體振蕩器 </p><p>  3. 支持 RS232 這樣的串行接口 </p><p>  1)全雙工發(fā)送器和接收器(TxD 和 RxD) </p><p>  2)六個調(diào)制解調(diào)控制引腳(RTS,CTS,DTR,DSR,DCD 和 RI) </p><p&

60、gt;  3)內(nèi)容可以為 5,6,7 或 8 個數(shù)據(jù)位 </p><p>  4)支持自動握手各種模式 </p><p>  5)一、一個半,或兩個停止位 </p><p>  6)奇偶錯誤,幀錯誤和串行中斷檢測 </p><p>  7)可編程波特率從 75bps 到 6 Mbps </p><p>  8)外部的

61、RS232 驅(qū)動下降控制 </p><p>  9)獨立的串行接口電源 </p><p>  4. 寬廣的流量控制機制 </p><p>  1)自動與 CTS / RTS 流控制 </p><p>  2)自動使用的 XON / XOFF 流量控制 </p><p>  3)入站數(shù)據(jù)緩沖區(qū)溢出檢測 </p>

62、;<p>  5. 可配置的 512 個字節(jié)雙向數(shù)據(jù)緩沖器 </p><p>  1)256 字節(jié)的輸出緩沖區(qū)和 256 字節(jié)的輸入緩沖區(qū) </p><p>  2)128 字節(jié)的輸出緩沖區(qū)和 384 字節(jié)的輸入緩沖區(qū) </p><p>  6. 支持從遠程輸入相關(guān)調(diào)制信號進行喚醒功能 </p><p>  7. 兩個通用的 I

63、 / O(GPIO)引腳 </p><p>  8. 啟動時可以將配置存儲于外部的 EEPROM </p><p>  9. 提供支持 Windows 和 Mac OS,Linux 和 WinCE 的驅(qū)動程序 </p><p>  10.具有專為 Windows XP 驅(qū)動程序認證的標(biāo)志 </p><p>  11.該 IC 是 SSOP

64、封裝的小尺寸 28 引腳</p><p><b>  二、簡介: </b></p><p>  PL2303 是 Prolific 公司生產(chǎn)的一種高度集成的 RS232-USB 接口轉(zhuǎn)換器,可提供一個 RS232 全雙工異步串行通信裝置與 USB 功能接口便利聯(lián)接的解決方案。 </p><p>  該器件內(nèi)置 USB 功能控制器、USB 收發(fā)

65、器、振蕩器和帶有全部調(diào)制解調(diào)器控制信號的 UART,只需外接幾只電容就可實現(xiàn) USB 信號與 RS232 信號的轉(zhuǎn)換,能夠方便嵌入到手持設(shè)備。 </p><p>  該器件作為 USB/RS232 雙向轉(zhuǎn)換器,一方面從主機接收 USB 數(shù)據(jù)并將其轉(zhuǎn)換為 RS232 信息流格式發(fā)送給外設(shè);另一方面從 RS232 外設(shè)接收數(shù)據(jù)轉(zhuǎn)換為 USB數(shù)據(jù)格式傳送回主機。這些工作全部由器件自動完成,開發(fā)者無需考慮固件設(shè)計。 &

66、lt;/p><p>  通過利用USB塊傳輸模式,利用龐大的數(shù)據(jù)緩沖器和自動流量控制,PL2303HX能夠?qū)崿F(xiàn)更高的吞吐量比傳統(tǒng)的 UART(通用異步收發(fā)器)端口,高達 115200 bps的波特率可用于更高的性能使用。</p><p>  三、對應(yīng)引腳圖方案:</p><p>  四、采用PL2303實現(xiàn)的USB轉(zhuǎn)串口模塊,原理圖:</p><p&

67、gt;  單片機與PL2303的連接如圖3.9所示</p><p>  圖3.9 單片機與PL2303的連接圖</p><p>  3.2 傳感器的介紹</p><p>  3.2.1 壓力傳感器SGY-2</p><p>  3.2.2 差壓傳感器SZR19</p><p>  3.2.3 流量傳感器CLL-DE-0

68、8</p><p>  3.2.4 溫度傳感器鉑熱電阻Rt100</p><p>  3.3 模數(shù)轉(zhuǎn)換器ADC0809</p><p>  在我們所測控的信號中軍事連續(xù)變化的物理量,而要對這些信號進行處理,則需要將其轉(zhuǎn)換為數(shù)字量,A/D轉(zhuǎn)換器就是為了將連續(xù)變化的模擬量轉(zhuǎn)換成計算機能接受的數(shù)字量。</p><p>  按模擬量轉(zhuǎn)換成數(shù)字量的原理

69、可以分為3種:雙積分式、逐次逼近式及并行式A/D轉(zhuǎn)換器。而該系統(tǒng)選用的是ADC0809,下面就具體的介紹一下ADC0809的工作原理。</p><p><b>  C0809的介紹</b></p><p>  ADC0809是八通道的八位逐次逼近式A/D轉(zhuǎn)換器。由單一的5V電源供電,片內(nèi)帶有鎖存功能的8選1的模擬開關(guān)。由C、B、A的編碼來決定所選的模擬通道。轉(zhuǎn)換時間為

70、100us。轉(zhuǎn)換誤差為1/2LSB。</p><p>  它的引腳的排列及其功能,其引腳圖見3.10</p><p>  圖3.10 ADC0809的引腳圖</p><p>  IN7~IN0 :八個通道的模擬輸入量。</p><p>  ADDA、ADDB、ADDC:模擬通道地址線。當(dāng)CBA=000時,IN0輸入,當(dāng)CBA=111時,IN7

71、輸入。</p><p>  ALE:地址鎖存信號。</p><p>  START:轉(zhuǎn)換啟動信號,高電平有效。</p><p>  D7~D0:數(shù)據(jù)輸出線。三態(tài)輸出,D7是最高位,D0是最低位。</p><p>  OE:輸出允許信號,高電平有效。</p><p>  CLK:時鐘信號,最高頻率為 640KHZ。<

72、;/p><p>  EOC:轉(zhuǎn)換結(jié)束狀態(tài)信號。上升沿后高電平有效。</p><p>  Vcc:+5V電源。</p><p>  Vref:參考電壓。</p><p>  2、ADC0809時序圖及其接口電路</p><p>  ADC0809的時序圖如圖3.11所示:</p><p>  圖3.1

73、1 ADC0809的時序圖</p><p>  其工作過程是:ALE的上升沿將A、B、C端選擇的通道地址鎖存到8位A/D轉(zhuǎn)換器的輸入端。START的下降驗啟動8位A/D轉(zhuǎn)換器進行轉(zhuǎn)換。A/D轉(zhuǎn)換開始使EOC端輸出低電平。A/D轉(zhuǎn)換結(jié)束,EOC輸出高電平。該信號通常可作為中斷申請信號。OE為讀出數(shù)據(jù)允許信號。OE端為高電平時,可以讀出轉(zhuǎn)換的數(shù)字量。硬件電路設(shè)計時,需根據(jù)時序關(guān)系及軟件進行設(shè)計。</p>

74、<p>  ADC0809與STC89C52單片機的接口方式,如圖3.12所示:</p><p>  圖3.12 ADC0809與單片機的連接圖</p><p>  由于ADC0809具有輸出3態(tài)鎖存器,其八位數(shù)據(jù)輸出引腳可直接與數(shù)據(jù)總線相連。地址譯碼引腳A、B、C分別與地址總線低三位A0、A1、A2相連,以選通IN0~IN7中的一個通道。在啟動A/D轉(zhuǎn)換時,由單片機的P3.4

75、控制A/D轉(zhuǎn)換器的地址鎖存和轉(zhuǎn)換啟動,由于ALE和START連在一起,因此AD0809在鎖存通道的同時,也啟動了A/D轉(zhuǎn)換器。在讀取轉(zhuǎn)換結(jié)果時,用低電平的讀信號RD,產(chǎn)生的正脈沖作為OE信號,用以打開三態(tài)輸出鎖存器。將轉(zhuǎn)換結(jié)果輸出。而低電平的寫信號WR則表示轉(zhuǎn)換結(jié)束狀態(tài)信號。</p><p><b>  3.4 報警電路</b></p><p>  本設(shè)計的報警電路由

76、簡易式有源蜂鳴器和發(fā)光二極管及NPN三極管組成。當(dāng)我們采集回來的數(shù)據(jù)超出我們的標(biāo)準(zhǔn)范圍時通過數(shù)據(jù)采集處理加判斷,利用軟件把和三極管連接的I/O口制低從而點亮發(fā)光二極管和蜂鳴器起到報警功效。</p><p><b>  電路圖如下:</b></p><p><b>  第四章 軟件部分</b></p><p>  4.1

77、簡介KeilUvision2</p><p>  Keil提供了包括C編譯器、宏匯編、連接器、庫管理和一個功能強大的仿真調(diào)試器等在內(nèi)的完整開發(fā)方案,通過一個集成開發(fā)環(huán)境(UVISION)將這些組合在一起。</p><p>  Keil有以下幾個特點:</p><p>  全功能的源代碼編輯器;</p><p>  器件庫用來配置開發(fā)工具設(shè)置;

78、</p><p>  項目管理器用來創(chuàng)建和維護用戶的項目;</p><p>  集成的MAKE工具可以匯編、編譯和連接用戶嵌入式應(yīng)用;</p><p>  所有開發(fā)工具的設(shè)置都是對話框形式的;</p><p>  真正的源代碼級的對CPU和外圍器件的調(diào)試器;</p><p>  高級GDI(AGDI)接口用來在目標(biāo)硬件

79、上進行軟件調(diào)試以及和Monitor-51進行通信</p><p><b>  其使用的過程為:</b></p><p>  首先打開KeilUvision2,在KEIL系統(tǒng)中,每做個獨立的程序,都視為工程。首先從菜單中的工程中“新建工程”,建立我們將要做的工程項目:</p><p>  接下來Keil環(huán)境要求我們?yōu)?2工程選擇一個單片機型號;我

80、們選擇Ateml公司的89C51(雖然我使用的是STC89C52,但由于89S51與89C51內(nèi)外部的結(jié)構(gòu)完全一樣,所以這里仍然用“89C51”)?!按_定”后工程就算建立好了。</p><p>  立了工程項目以后現(xiàn)在就要為工程添加程序,點擊“文件”中的新建,新建一個空白文檔;這個空白文檔就是我們編寫單片機程序的場所。在這里可以進行編輯、修改等操作。根據(jù)題意,在文檔中寫入代碼,寫完后再檢查一下,然后保存,然后再將

81、保存好的文檔添加到工程中,具體做法如下:</p><p>  程序文件添加完畢后,對其進行編譯當(dāng)前程序、編譯修改過的文件并生成應(yīng)用程序、重新編譯所有文件并生成應(yīng)用程序后,再點擊TARGET,則其頁面為:</p><p>  再點擊圖案上的Output鍵</p><p>  接下來就是點擊上圖中的select folder for objects鍵,得到下圖將其產(chǎn)生的

82、HEX文件存儲在E盤zh文件夾中。</p><p>  最后一步就是利用STC-ISP將HEX文件燒錄到單片機里。</p><p><b>  4.2程序設(shè)計</b></p><p>  本軟件系統(tǒng)有一個主程序,五個子程序,五個子程序分別為溫度采集轉(zhuǎn)換子程序,壓力采集轉(zhuǎn)換子程序,流量采集轉(zhuǎn)換子程序,差壓采集轉(zhuǎn)換子程序,延遲子程序。</p&

83、gt;<p><b> ?。?)主程序</b></p><p>  主程序?qū)ο到y(tǒng)進行初始化,主要是調(diào)用鍵盤掃描再根據(jù)按下的鍵來調(diào)用子程序。其流程圖見圖4.1</p><p> ?。?)鍵盤掃描子程序</p><p>  該程序是根據(jù)按鍵按下的健選擇調(diào)用相應(yīng)的子程序。其流程圖如圖4.3所示</p><p>&

84、lt;b>  (3)數(shù)據(jù)采集程序</b></p><p>  該子程序是調(diào)用溫度,壓力,流量,差壓等數(shù)據(jù)采集顯示。</p><p><b>  圖4.1主程序</b></p><p>  圖4.2鍵盤掃描子程序</p><p>  圖4.2 LED顯示</p><p>  圖4

85、.3模數(shù)轉(zhuǎn)換子程序ADCON</p><p><b>  第五章 調(diào)試結(jié)果</b></p><p>  這個系統(tǒng)是一個主從式的數(shù)據(jù)采集系統(tǒng)。系統(tǒng)調(diào)試以程序為主,硬件調(diào)試應(yīng)先檢測電路的焊接是否正確,然后用外用表檢測或通電檢測其是否有短路或斷路。軟件調(diào)試包括調(diào)試程序和對硬件準(zhǔn)確性的調(diào)試。</p><p>  在整個系統(tǒng)中,用到了4個按鍵,按鍵S1按

86、下后,發(fā)送一個數(shù)據(jù)給單片機,然后采集完數(shù)據(jù)后再將轉(zhuǎn)換好的數(shù)據(jù)和采集線路的通道號發(fā)送給主機并用LED數(shù)據(jù)顯示器顯示出來;按鍵S1-S4用于選擇采集方式,分別送相應(yīng)的采集線路的通道號給從機,然后再將從機轉(zhuǎn)換好的數(shù)據(jù)和采集線路的通道號發(fā)送給主機并用LED數(shù)據(jù)顯示器顯示出來;當(dāng)主機沒有按鍵按下時,則發(fā)送一個數(shù)0給LED。</p><p><b>  參考文獻</b></p><p

87、>  [1] 嚴潔.單片機原理及其接口技術(shù).機械工業(yè)出版社,2010,65-105</p><p>  [2] 范紅剛.51單片機自學(xué)筆記.北京航空航天大學(xué)出版社,2009</p><p>  [3] 高云.基于MSP430的溫室多路數(shù)據(jù)采集系統(tǒng).農(nóng)機化研究,2009,No.8</p><p>  [4] 常鐵原,王欣,陳文軍. 多路數(shù)據(jù)采集系統(tǒng)的設(shè)計.電子技

88、術(shù)應(yīng)用,2008,No.11</p><p>  [5] 葉紅海,李麗敏.基于單片機的多路數(shù)據(jù)采集系統(tǒng)的設(shè)計與實現(xiàn).2008,No.4</p><p>  [6] 彭偉.單片機C語言程序設(shè)計實訓(xùn)100例.電子工業(yè)出版社,2009,46-48,104-110</p><p>  [7] 楊居義,楊曉琴,王益斌等.單片機課程設(shè)計指導(dǎo).清華大學(xué)出版社,2009,135-1

89、41</p><p>  [8] 劉剛,秦永左,朱杰斌.單片機原理及應(yīng)用.北京大學(xué)出版社,2006,76-98,134-155</p><p>  [9] 林祝亮,武林,楊金華.基于雙單片機的多路數(shù)據(jù)采集系統(tǒng)設(shè)計.儀器儀表學(xué)報,2006,No.6</p><p>  [10] 元增民,張文希.單片機原理與應(yīng)用基礎(chǔ).國防科技大學(xué)出版社,2006,205-266<

90、/p><p>  王琳,商周,王學(xué)偉.數(shù)據(jù)采集的發(fā)展及應(yīng)用.電測與儀表,2004,No.464</p><p><b>  附錄一</b></p><p><b>  硬件電路圖</b></p><p><b>  附錄2</b></p><p><b

91、>  程序</b></p><p>  #include<reg52.h></p><p>  #define uchar unsigned char</p><p>  #define uint unsigned int</p><p>  uchar code table[16] ={0x3f,0x06,0

92、x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71};</p><p>  uchar code tab[16] ={0xbf,0x86,0xdb,0xcf,0xe6,0xed,0xfd,0x87,0xff,0xef,0xf7,0xfc,0xb9,0xde,0xf9,0xf1};</p><p>  sb

93、it LED1=P3^0; // 位定義</p><p>  sbit LED2=P3^1;</p><p>  sbit LED3=P3^2;</p><p>  sbit LED4=P3^3;</p><p>  sbit s1=P3^4;</p><p>  sbit s2=P3^5;&l

94、t;/p><p>  sbit s3=P3^6;</p><p>  sbit s4=P3^7;</p><p>  sbit start=P2^0;</p><p>  sbit eoc=P2^1;</p><p>  sbit oe=P2^2;</p><p>  sbit ale=P2^3;&

95、lt;/p><p>  sbit a=P2^4;</p><p>  sbit b=P2^5;</p><p>  sbit c=P2^6;</p><p>  uint t,f,mm;</p><p>  void wendu();</p><p>  void yali();</p>

96、<p>  void chaya();</p><p>  void liuliang();</p><p>  void delay(uint del)</p><p><b>  {</b></p><p><b>  uint i,j;</b></p><p&

97、gt;  for(i=0;i<del;i++)</p><p>  for(j=0;j<1827;j++);</p><p><b>  }</b></p><p>  void main()</p><p><b>  {</b></p><p><b&g

98、t;  while(1)</b></p><p><b>  {</b></p><p><b>  if(s1==0)</b></p><p><b>  {</b></p><p><b>  delay(1);</b></p>

99、<p><b>  if(s1==0)</b></p><p><b>  {</b></p><p><b>  wendu();</b></p><p><b>  }</b></p><p><b>  }&l

100、t;/b></p><p><b>  if(s2==0)</b></p><p><b>  {</b></p><p><b>  delay(1);</b></p><p><b>  if(s2==0)</b></p><

101、p><b>  {</b></p><p>  yali();</p><p><b>  }</b></p><p><b>  }</b></p><p><b>  if(s3==0)</b></p><p&g

102、t;<b>  {</b></p><p><b>  delay(1);</b></p><p><b>  if(s3==0)</b></p><p><b>  {</b></p><p>  chaya();</p>&

103、lt;p><b>  }</b></p><p><b>  }</b></p><p><b>  if(s4==0)</b></p><p><b>  {</b></p><p><b>  delay(1);</b>&l

104、t;/p><p><b>  if(s4==0)</b></p><p><b>  {</b></p><p>  liuliang();</p><p><b>  }</b></p><p><b>  }</b>&

105、lt;/p><p><b>  }</b></p><p><b>  }</b></p><p>  void wendu()</p><p><b>  {</b></p><p>  uint temp;</p><p>  i

106、nt temp1,temp2,temp3,temp4;</p><p><b>  eoc=0;</b></p><p><b>  ale=0;</b></p><p>  a=0,b=0,c=0;</p><p><b>  delay(1);</b></p>

107、<p><b>  ale=1;</b></p><p><b>  start=1;</b></p><p><b>  delay(1);</b></p><p><b>  start=0;</b></p><p><b>  

108、delay(1);</b></p><p><b>  oe=1;</b></p><p>  if(eoc==1)</p><p><b>  {</b></p><p><b>  t=P0;</b></p><p>  f=t*0.01

109、96;</p><p>  if(f>1.25)</p><p><b>  {</b></p><p>  temp=600*(f-1.25)/3.73;</p><p><b>  }</b></p><p>  if(f<1.25)</p>&

110、lt;p><b>  {</b></p><p>  temp=-200*(1.25-f)/1.25;</p><p><b>  }</b></p><p>  temp=temp*10; //放大十倍(顯示一個小數(shù)部分)</p><p>  if(temp>mm

111、)//設(shè)置報警極限</p><p><b>  {</b></p><p><b>  LED1=0;</b></p><p><b>  }</b></p><p>  temp1=temp/1000;</p><p>  temp2=tem

112、p%1000/100;</p><p>  temp3=temp%1000%100/10;</p><p>  temp4=temp%10;</p><p><b>  delay(1);</b></p><p>  if(temp<0)</p><p><b>  {</b

113、></p><p><b>  P0=3;</b></p><p><b>  P1=0x40;</b></p><p><b>  delay(1);</b></p><p><b>  P0=4;</b></p><p>

114、  P1=table[temp1];</p><p><b>  delay(1);</b></p><p><b>  P0=5;</b></p><p>  P1=table[temp2];</p><p><b>  delay(1);</b></p>&l

115、t;p><b>  P0=6;</b></p><p>  P1=tab[temp3];</p><p><b>  delay(1);</b></p><p><b>  P0=7;</b></p><p>  P1=table[temp4];</p>&

116、lt;p>  delay(1);</p><p><b>  }</b></p><p>  if(temp>0)</p><p><b>  {</b></p><p><b>  P0=4;</b></p><p>  P1=tab

117、le[temp1];</p><p><b>  delay(1);</b></p><p><b>  P0=5;</b></p><p>  P1=table[temp2];</p><p><b>  delay(1);</b></p><p>&

118、lt;b>  P0=6;</b></p><p>  P1=tab[temp3];</p><p><b>  delay(1);</b></p><p><b>  P0=7;</b></p><p>  P1=table[temp4];</p><p>

119、<b>  delay(1);</b></p><p><b>  }</b></p><p><b>  }</b></p><p><b>  }</b></p><p>  void yali()</p><p><b

120、>  {</b></p><p><b>  uint KPa;</b></p><p>  int KPa1,KPa2,KPa3,KPa4,KPa5,KPa6;</p><p><b>  eoc=0;</b></p><p><b>  ale=0;</b>

121、;</p><p>  a=1,b=0,c=0;</p><p><b>  delay(1);</b></p><p><b>  ale=1;</b></p><p><b>  start=1;</b></p><p><b>  del

122、ay(1);</b></p><p><b>  start=0;</b></p><p><b>  delay(1);</b></p><p><b>  oe=1;</b></p><p>  if(eoc==1)</p><p>&l

123、t;b>  {</b></p><p><b>  t=P0;</b></p><p>  f=t*0.0196;</p><p>  KPa=3*f*10000; //轉(zhuǎn)換為KPa并且放大十倍(顯示一個小數(shù)部分)</p><p>  if(KPa>mm)//設(shè)置報警極限<

124、/p><p><b>  {</b></p><p><b>  LED2=0;</b></p><p>  } </p><p>  KPa1=KPa/100000;</p><p>  KPa2=KPa%100000/10000

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論