2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩20頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、<p>  課程設計(學年論文)</p><p><b>  說明書</b></p><p>  課題名稱: 用單片機控制的數(shù)據(jù)采集系統(tǒng) </p><p>  專業(yè)班級: </p><p>  學生學號:

2、 </p><p>  學生姓名: </p><p>  學生成績: </p><p>  指導教師: </p>

3、;<p>  課題工作時間: 至 </p><p><b>  大學教務處 </b></p><p><b>  目 錄 </b></p><p>  設計任務與要求 2</p>

4、<p>  設計依據(jù) 3</p><p>  控制系統(tǒng)性能說明 4</p><p>  硬件設計 5</p><p>  軟件設計

5、 12</p><p>  總結 15</p><p><b>  附表、附圖 </b></p><p><b>  設計的任務與要求</b></p><p><b>

6、;  設計任務 </b></p><p>  單片機在工業(yè)控制系統(tǒng)諸多領域得到了極為廣泛的應用。特別是其中的51系列的單片機的出現(xiàn),具有更好的穩(wěn)定性,更快和更準確的運算精度。本次設計以8051單片機為核心,實現(xiàn)空調的智能控制因為8051單片機應用廣泛,性能穩(wěn)定,抗干擾能力強,性價比高。而且還適用于儀器儀表,不僅能完成測量還可以進行數(shù)據(jù)的處理和監(jiān)控等。</p><p>  本次以

7、單片機為主控器設計單片機主電路、數(shù)據(jù)采集接口電路擴展A/D和D/A接口,構成一路模擬量輸入的數(shù)據(jù)采集系統(tǒng),要求設計制作出硬件電路、時鐘信號控制電路,能夠實現(xiàn)對多路電壓值進行測量,可以通過ADC0809模數(shù)轉換芯片將采集的模擬信號轉換為數(shù)字信號。 </p><p><b>  設計要求</b></p><p>  以單片機為主控器.擴展A/D和D/A接口,構成一個多路模

8、擬量輸入的數(shù)據(jù)采集系統(tǒng),要求設計制作出硬件電路,編制并調試出程序。 </p><p>  1. 采用8051和ADC0809構成一個8通道數(shù)據(jù)采集系統(tǒng)。能夠順序采集各個通道的信號。</p><p>  2. 先利用A/D轉化器對各路電壓進行采樣,得到相應數(shù)字量,再按數(shù)字量與模擬量成正比關系運算得到相應的模擬電壓值,然后將模擬量通過顯示器顯示出來。</p><p> 

9、 3. 采集信號的動態(tài)范圍:0~5V。每個通道的采樣速率:100 SPS。【ADC的參數(shù),即每秒模數(shù)轉換器采點數(shù)(采樣率)?!吭O計圖紙</p><p>  4. 繪制控制系統(tǒng)原理圖,圖中的線路連接及元件標注必需正確無誤。</p><p>  5.編寫相應的單片機采集程序,到達規(guī)定的性能。</p><p><b>  設計依據(jù)</b></p

10、><p>  ADC0809是TI公司生產的8位逐次逼近式模數(shù)轉換器,包括一個8位的逼近型的ADC部分,并提供一個8通道的模擬多路開關和聯(lián)合尋址邏輯,為模擬通道的設計提供了很大的方便。</p><p>  用它可直接將8個單端模擬信號輸入,分時進行A/D轉換,在多點巡回監(jiān)測、過程控制等領域中使用非常廣泛,所以本設計中選用該芯片作為A/D轉換電路的核心。</p><p>

11、  單片機是一種集成的電路芯塊采用了超大規(guī)模技術把具有運算能力(如算術運算、邏輯運算、數(shù)據(jù)傳送、中斷處理)的微處理器(CPU),隨機存取數(shù)據(jù)存儲器(RAM),只讀程序存儲器(ROM),輸入輸出電路(I/O口),可能還包括定時計數(shù)器,串行通信口(SCI),顯示驅動電路(LCD或LED驅動電路),脈寬調制電路(PWM),模擬多路轉換及A/D轉換器等電路集成到一塊單片機上,構成一個最小然而很完善的計算機系統(tǒng)。這些電路能在軟件的控制下準確快速的

12、完成程序設計者事先規(guī)定的任務</p><p>  數(shù)據(jù)采樣是智能儀表、自動控制系統(tǒng)中不可缺少的部分,如果單片機本身帶A/D、D/A功能,則不必進行系統(tǒng)擴展。如果芯片本身不帶這種功能,則必須擴展A/D、D/A接口,還必須擴展存儲系統(tǒng)程序的EPROM,以構成一個完整的數(shù)據(jù)采集系統(tǒng)。</p><p>  芯片的選取應根據(jù)應用需要或實驗條件進行,當精度要求不高時,通常用8位A /D/A電路,如選A

13、DC0809 , DAC0832及EPROM 2764等進行系統(tǒng)擴展。</p><p><b>  硬件框圖</b></p><p>  硬件框圖如圖示,圖中,三路輸入信號是用5 V 電源經(jīng)電位器調節(jié)得到0~5 V的采樣輸入信號送入ADC電路的IN0~IN2。單片機分時對模擬輸入信號進行采集,獲得數(shù)值信號單片機內存儲RAM中,也可以使用擴展R A M。之后單片機將RA

14、M中的數(shù)值量送入D/A轉換電路,D/A電路通常輸出與數(shù)字量相對應的模擬電流,經(jīng)I/ V變換成模擬電壓值。若系統(tǒng)工作正常,得到的輸出模擬電壓與輸入模擬電壓相等,可用示波器進行比較。</p><p>  圖 多路數(shù)據(jù)采樣系統(tǒng)框圖</p><p><b>  控制系統(tǒng)性能說明</b></p><p>  單片機多通道數(shù)據(jù)采集測控系統(tǒng)采用集成傳感器滿足

15、測量,并將該數(shù)據(jù)信號轉換成電流,轉換為三路輸入信號,它們是用5 V 電源經(jīng)電位器調節(jié)得到0~5 V的采樣輸入信號送入ADC電路的IN0~IN2。單片機分時對模擬輸入信號進行采集,獲得數(shù)值信號單片機內存儲RAM中,也可以使用擴展R A M。之后單片機將RAM中的數(shù)值量送入D/A轉換電路,D/A電路通常輸出與數(shù)字量相對應的模擬電流,經(jīng)I/ V變換成模擬電壓值。若系統(tǒng)工作正常,得到的輸出模擬電壓與輸入模擬電壓相等,可用示波器進行比較。最終通過

16、放大電路交由模/數(shù)轉換芯片轉換成數(shù)字信號經(jīng)單片機處理并經(jīng)輸出驅動電路顯示于LED數(shù)碼管。該測量儀可實現(xiàn)多點不同區(qū)域測量,單通道,循環(huán)測量。還具有自動報警和自動控制功能。</p><p>  由以上大致分析,整個系統(tǒng)控制將由8051單片機為核心構成。選用ADC0809作為模/數(shù)轉換芯片,各個檢測信號、控制信號、顯示信號可由單片機的I/O口進行,并由程序保證系統(tǒng)抗干擾的能力。</p><p>

17、  將數(shù)據(jù)采集接口T-DETECT端口電壓傳入ADC0809數(shù)模轉換元件中的IN-0通道,經(jīng)轉換后通過D0至D7與單片機P0口連接,把轉換完的模擬信號以數(shù)字信號的信號的形式傳給單片機,信號經(jīng)過單片機處理后輸入LED數(shù)碼顯示管顯示。本設計使用的數(shù)據(jù)流輸出為串入并出形式,這樣的好處是使用接口較少,使用方便。</p><p>  在功能上,本系統(tǒng)為溫度采集測控系統(tǒng),其采用AD590溫度傳感器將溫度信號轉換成電流,并在電

18、路中再次轉換為電壓信號,經(jīng)放大電路以及模/數(shù)轉換芯片轉換成數(shù)字信號后,交由單片機處理,其預定的處理程序存于EPROM中,以實現(xiàn)其功能要求,并最終輸出顯示于LED數(shù)碼管上。該測量儀可實現(xiàn)多點不同區(qū)域測量,單通道,循環(huán)測量。還具有超溫報警和自動控制功能,當溫度超過某一設定值時,系統(tǒng)控制繼電器來關閉加溫設備。</p><p>  在用途上,AD590的測溫范圍為- 55℃~+150℃,非線性誤差僅為±0.3℃

19、。滿足于一般環(huán)境及冷庫、一般加工廠的溫度測量,同時通過超溫報警和自動控制功能,可以達到一定自動化功能控制其環(huán)境溫度的變化。</p><p>  在精度上,送入ADC的輸入信號為0~5 V,=0.0195,故其精度也可以達到其使用要求。</p><p><b>  硬件設計</b></p><p>  在數(shù)據(jù)采集時,來自傳感器的模擬信號,一般都是

20、比較弱的電平信號,因此需要放大電路把輸入的模擬信號進行適當?shù)姆糯蟆榱顺浞掷肁/D轉換器的分辨率(A/D轉換器輸出的數(shù)字位數(shù)),就要把模擬輸入信號放大到與A/D轉換器滿量程電壓相應得電平值。</p><p>  模擬信號進行A/D轉換時,從啟動轉換到轉換結束輸出數(shù)字量,需要一定的轉換時間。在這個轉換時間內,模擬信號要基本保持不變。否則轉換精度沒有保證,特別當輸入信號頻率較高時,會造成很大的轉換誤差。要防止這種誤

21、差的產生,必須在A/D轉換開始時將輸入信號的電平保持住,而在A/D轉換結束后又要跟蹤輸入信號的變化。實現(xiàn)這種功能可以用采樣/保持器來實現(xiàn),因而,由于采樣/保持器的加入,大大提高了數(shù)據(jù)采集系統(tǒng)的采集頻率。</p><p><b>  硬件介紹:</b></p><p><b>  ADC0809概述</b></p><p>

22、  ADC0809是美國國家半導體公司生產的CMOS工藝8通道,8位逐次逼近式A/D模數(shù)轉換器。其內部有一個8通道多路開關,它可以根據(jù)地址碼鎖存譯碼后的信號,只選通8路模擬輸入信號中的一個進行A/D轉換。是目前國內應用最廣泛的8位通用A/D芯片</p><p><b>  1.主要特性</b></p><p>  1)8路輸入通道,8位A/D轉換器,即分辨率為8位。

23、</p><p>  2)具有轉換起停控制端。 </p><p>  3)轉換時間為100μs(時鐘為640kHz時),130μs(時鐘為500kHz時)  </p><p>  4)單個+5V電源供電 </p><p>  5)模擬輸入電壓范圍0~+5V,不需零點和滿刻度校準。 </p><p>  6)工作溫度范圍

24、為-40~+85攝氏度 </p><p>  7)低功耗,約15mW。 </p><p><b>  2.內部結構</b></p><p>  ADC0809是CMOS單片型逐次逼近式A/D轉換器,內部結構如圖所示,它由8路模擬開關、地址鎖存與譯碼器、比較器、8位開關樹型A/D轉換器、逐次逼近寄存器、邏輯控制和定時電路組成。 </p>

25、;<p>  3.外部特性(引腳功能)</p><p>  ADC0809芯片有28條引腳,采用雙列直插式封裝,如圖所示。下面說明各引腳功能。 </p><p>  IN0~IN7:8路模擬量輸入端。 </p><p>  2-1~2-8:8位數(shù)字量輸出端。 </p><p>  ADDA、ADDB、ADDC:3位地址輸入線,用

26、于選通8路模擬輸入中的一路 </p><p>  ALE:地址鎖存允許信號,輸入,高電平有效。 </p><p>  START: A/D轉換啟動脈沖輸入端,輸入一個正脈沖(至少100ns寬)使其啟動(脈沖上升沿使0809復位,下降沿啟動A/D轉換)。 </p><p>  EOC: A/D轉換結束信號,輸出,當A/D轉換結束時,此端輸出一個高電平(轉換期間一直為低

27、電平)。 </p><p>  OE:數(shù)據(jù)輸出允許信號,輸入,高電平有效。當A/D轉換結束時,此端輸入一個高電平,才能打開輸出三態(tài)門,輸出數(shù)字量。 </p><p>  CLK:時鐘脈沖輸入端。要求時鐘頻率不高于640KHZ。 </p><p>  REF(+)、REF(-):基準電壓。 </p><p>  Vcc:電源,單一+5V。 &l

28、t;/p><p><b>  GND:地。 </b></p><p>  ADC0809的工作過程</p><p>  首先輸入3位地址,并使ALE=1,將地址存入地址鎖存器中。此地址經(jīng)譯碼選通8路模擬輸入之一到比較器。START上升沿將逐次逼近寄存器復位。下降沿啟動 A/D轉換,之后EOC輸出信號變低,指示轉換正在進行。直到A/D轉換完成,EOC

29、變?yōu)楦唠娖?,指示A/D轉換結束,結果數(shù)據(jù)已存入鎖存器,這個信號可用作中斷申請。當OE輸入高電平 時,輸出三態(tài)門打開,轉換結果的數(shù)字量輸出到數(shù)據(jù)總線上。 </p><p>  轉換數(shù)據(jù)的傳送 A/D轉換后得到的數(shù)據(jù)應及時傳送給單片機進行處理。數(shù)據(jù)傳送的關鍵問題是如何確認A/D轉換的完成,因為只有確認完成后,才能進行傳送。為此可采用下述三種方式。 </p><p> ?。?)定時傳送方式 &l

30、t;/p><p>  對于一種A/D轉換器來說,轉換時間作為一項技術指標是已知的和固定的。例如ADC0809轉換時間為128μs,相當于6MHz的MCS-51單片機共64個機器周期??蓳?jù)此設計一個延時子程序,A/D轉換啟動后即調用此子程序,延遲時間一到,轉換肯定已經(jīng)完成了,接著就可進行數(shù)據(jù)傳送。 </p><p><b>  (2)查詢方式 </b></p>

31、<p>  A/D轉換芯片由表明轉換完成的狀態(tài)信號,例如ADC0809的EOC端。因此可以用查詢方式,測試EOC的狀態(tài),即可確認轉換是否完成,并接著進行數(shù)據(jù)傳送。 </p><p><b>  (3)中斷方式 </b></p><p>  把表明轉換完成的狀態(tài)信號(EOC)作為中斷請求信號,以中斷方式進行數(shù)據(jù)傳送。 </p><p>

32、;  不管使用上述哪種方式,只要一旦確定轉換完成,即可通過指令進行數(shù)據(jù)傳送。首先送出口地址并以信號有效時,OE信號即有效,把轉換數(shù)據(jù)送上數(shù)據(jù)總線,供單片機接受。</p><p>  DAC0832芯片:</p><p>  DAC0832是8分辨率的D/A轉換集成芯片。與微處理器完全兼容。這個DA芯片以其價格低廉、接口簡單、轉換控制容易等優(yōu)點,在單片機應用系統(tǒng)中得到廣泛的應用。D/A轉換器

33、由8位輸入鎖存器、8位DAC寄存器、8位D/A轉換電路及轉換控制電路構成。 </p><p>  DAC0832的主要特性參數(shù)如下:</p><p>  * 分辨率為8位; </p><p>  * 電流穩(wěn)定時間1us; </p><p>  * 可單緩沖、雙緩沖或直接數(shù)字輸入; </p><p>  * 只需在滿量程

34、下調整其線性度; </p><p>  * 單一電源供電(+5V~+15V); </p><p>  * 低功耗,20mW。 </p><p>  DAC0832結構:</p><p>  * D0~D7:8位數(shù)據(jù)輸入線,TTL電平,有效時間應大于90ns(否則鎖存器的數(shù)據(jù)會出錯); </p><p>  * ILE:

35、數(shù)據(jù)鎖存允許控制信號輸入線,高電平有效; </p><p>  * CS:片選信號輸入線(選通數(shù)據(jù)鎖存器),低電平有效; </p><p>  * WR1:數(shù)據(jù)鎖存器寫選通輸入線,負脈沖(脈寬應大于500ns)有效。由ILE、CS、WR1的邏輯組合產生LE1,當LE1為高電平時,數(shù)據(jù)鎖存器狀態(tài)隨輸入數(shù)據(jù)線變換,LE1的負跳變時將輸入數(shù)據(jù)鎖存; </p><p>  

36、* XFER:數(shù)據(jù)傳輸控制信號輸入線,低電平有效,負脈沖(脈寬應大于500ns)有效; </p><p>  * WR2:DAC寄存器選通輸入線,負脈沖(脈寬應大于500ns)有效。由WR2、XFER的邏輯組合產生LE2,當LE2為高電平時,DAC寄存器的輸出隨寄存器的輸入而變化,LE2的負跳變時將數(shù)據(jù)鎖存器的內容打入DAC寄存器并開始D/A轉換。 </p><p>  * IOUT1:電

37、流輸出端1,其值隨DAC寄存器的內容線性變化; </p><p>  * IOUT2:電流輸出端2,其值與IOUT1值之和為一常數(shù); </p><p>  * Rfb:反饋信號輸入線,改變Rfb端外接電阻值可調整轉換滿量程精度; </p><p>  * Vcc:電源輸入端,Vcc的范圍為+5V~+15V; </p><p>  * VREF

38、:基準電壓輸入線,VREF的范圍為-10V~+10V; </p><p>  * AGND:模擬信號地 </p><p>  * DGND:數(shù)字信號地 </p><p>  DAC0832的工作方式 </p><p>  根據(jù)上述對DAC0832的輸入寄存器和DAC寄存器不同的控制方法,DAC0832有如下3種工作方式: </p&g

39、t;<p><b>  單緩沖方式。</b></p><p>  1)單緩沖方式是控制輸入寄存器和DAC寄存器同時接收資料,或者只用輸入寄存器而把DAC寄存器接成直通方式。此方式適用只有一路模擬量輸出或幾路模擬量異步輸出的情形。 </p><p><b>  2)雙緩沖方式。</b></p><p>  雙緩

40、沖方式是先使輸入寄存器接收資料,再控制輸入寄存器的輸出資料到DAC寄存器,即分兩次鎖存輸入資料。此方式適用于多個D/A轉換同步輸出的情節(jié)。 </p><p><b>  3)直通方式。</b></p><p>  直通方式是資料不經(jīng)兩級鎖存器鎖存,即 CS*,XFER* ,WR1* ,WR2* 均接地,ILE接高電平。此方式適用于連續(xù)反饋控制線路和不帶微機的控制系統(tǒng),

41、不過在使用時,必須通過另加I/O接口與CPU連接,以匹配CPU與D/A轉換。 </p><p><b>  74LS90芯片</b></p><p>  由四個主從JK觸發(fā)器和一些附加門電路組成,整個電路可分兩部分,其中FA觸發(fā)器構成一位二進制計數(shù)器;FD、FC、FB構成異步五進制計數(shù)器,在74LS90計數(shù)器電路中,設有專用置“0”端R1、R2和置位(置“9”)端S

42、1、S2。</p><p><b>  工作方式如下:</b></p><p><b>  五分頻</b></p><p>  即由FD、FC、和FB組成的異步五進制計數(shù)器工作方式。</p><p>  十分頻(8421碼)</p><p>  將QA與CK2聯(lián)接,可構成84

43、21碼十分頻電路。</p><p><b>  六分頻</b></p><p>  在十分頻(8421碼)的基礎上,將QB端接R1,QC端接R2。其計數(shù)順序為000~101,當?shù)诹鶄€脈沖作用后,出現(xiàn)狀態(tài)QCQBQA=110,利用QBQC=11反饋到R1和R2的方式使電路置“0”。</p><p><b>  九分頻</b>

44、</p><p>  QA→R1、QD→R2,構成原理同六分頻。</p><p>  十分頻(5421碼):將五進制計數(shù)器的輸出端QD接二進制計數(shù)器的脈沖輸入端CK1,即可構成5421碼十分頻工作方式。</p><p>  此外,據(jù)功能表可知,構成上述五種工作方式時,S1、S2端最少應有一端接地;構成五分頻和十分頻時,R1、R2端亦必須有一端接地。</p>

45、;<p><b>  8051單片機芯片</b></p><p> ?。停茫樱担毙蛦纹瑱C是一高性能的單片機系列,具有很強的系統(tǒng)擴展能力, 可以擴展64KB的程序存儲器和64KB的數(shù)據(jù)存儲器或輸入/輸出接口,以滿足不同應用系統(tǒng)的要求。程序存儲器和外部數(shù)據(jù)存儲器的地址空間是重疊的, 實際訪問時用相應的控制信號PSEN和 RD與 WR 加以區(qū)分。它在工業(yè)控制系統(tǒng)諸多領域得到了極為廣

46、泛的應用。特別是其中的51系列的單片機的出現(xiàn),具有更好的穩(wěn)定性,更快和更準確的運算精度。本次設計以8051單片機為核心,實現(xiàn)空調的智能控制因為80數(shù)據(jù)采集。51單片機應用廣泛,性能穩(wěn)定,抗干擾能力強,性價比高。而且還適用于儀器儀表,不僅能完成測量還可以進行數(shù)據(jù)的處理和監(jiān)控等。 </p><p><b>  1、引腳功能:</b></p><p>  MCS-51是標準

47、的40引腳雙列直插式集成電路芯片,引腳分布請參照----單片機引腳圖: </p><p>  l P0.0~P0.7 P0口8位雙向口線(在引腳的39~32號端子)。 </p><p>  l P1.0~P1.7 P1口8位雙向口線(在引腳的1~8號端子)。 </p><p>  l P2.0~P2.7 P2口8位雙向口線(在引腳的21~28號端子)。 </p

48、><p>  l P3.0~P3.7 P3口8位雙向口線(在引腳的10~17號端子)。 </p><p><b>  2、四個I/O口:</b></p><p>  (1)P0口有三個功能:</p><p>  A、外部擴展存儲器時,當做數(shù)據(jù)總線(如圖1中的D0~D7為數(shù)據(jù)總線接口) </p><p>

49、;  B、外部擴展存儲器時,當作地址總線(如圖1中的A0~A7為地址總線接口) </p><p>  C、不擴展時,可做一般的I/O使用,但內部無上拉電阻,作為輸入或輸出時應在外部接上拉電阻。 </p><p><b> ?。?)P1口:</b></p><p>  只做I/O口使用:其內部有上拉電阻。 </p><p>

50、;  (3)P2口有兩個功能</p><p>  A、擴展外部存儲器時,當作地址總線使用 </p><p>  B、做一般I/O口使用,其內部有上拉電阻; </p><p>  (4)P3口有兩個功能</p><p>  除了作為I/O使用外(其內部有上拉電阻),還有一些特殊功能,由特殊寄存器來設置,具體功能請參考我們后面的引腳說明。 <

51、;/p><p>  有內部EPROM的單片機芯片(例如8751),為寫入程序需提供專門的編程脈沖和編程電源,這些信號也是由信號引腳的形式提供的, </p><p>  即:編程脈沖:30腳(ALE/PROG) </p><p>  編程電壓(25V):31腳(EA/Vpp) </p><p>  接觸過工業(yè)設備的兄弟可能會看到有些印刷線路板上會有

52、一個電池,這個電池是干什么用的呢?這就是單片機的備用電源,當外接電源下降到下限值時,備用電源就會經(jīng)第二功能的方式由第9腳(即RST/VPD)引入,以保護內部RAM中的信息不會丟失。</p><p><b>  8282芯片</b></p><p>  8282與74ls373是常用的地址鎖存器芯片,它們的結構和功能一樣,只是引腳圖不同。它實質是一個是帶三態(tài)緩沖輸出的8

53、D觸發(fā)器,在單片機系統(tǒng)中為了擴展外部存儲器,通常需要一塊8282芯片。</p><p>  外部特征(引腳功能)如下:</p><p><b>  E G D Q</b></p><p><b>  L H H H</b></p><p><b>  L H L L</b>&l

54、t;/p><p><b>  L L X Q</b></p><p>  上表是8282的真值表,表中: L——低電平; H——高電平; X——不定態(tài); Q0——建立穩(wěn)態(tài)前Q的電平; G——輸入端,與8031ALE連高電平:暢通無阻低電平:關門鎖存。圖中OE——使能端,接地。 當G=“1”時,8282輸出端1Q—8Q與輸入端1D—8D相同; 當G為下降沿時,將輸入數(shù)據(jù)鎖存

55、。</p><p><b>  引腳圖</b></p><p><b>  2764芯片</b></p><p>  EPROM 2764的外部引線如圖所示。這是一塊8K×8bit的EPROM芯片,它的引線與SRAM芯片6264是兼容的。這給使用者帶來很大方便。因為在軟件調試過程中,程序經(jīng)常需要修改,此時可將程序

56、先放在6264中,讀寫修改都很方便。調試成功后,將程序固化在2764中,由于它與 6264的引腳兼容,所以可以把2764直接插在原6264的插座上。這樣,程序就不會由于斷電而丟失。</p><p>  ?     下面介紹2764各引腳的含義:</p><p> ?、?#160;A0一A12:13根地址輸入線。用于尋址片內的8K個

57、存儲單元。</p><p> ?、?#160;D0~D7:8根雙向數(shù)據(jù)線,正常工作時為數(shù)據(jù)輸出線。編程時為數(shù)據(jù)輸入線。</p><p>  ③ OE:輸出允許信號。低電平有效。當該信號為0時,芯片中的數(shù)據(jù)可由D0~D7端輸出。</p><p> ?、?#160;CE:選片信號。低電平有效。當該信號為0時表示選中此芯片。.</p><p&

58、gt;  ⑤ PGM:編程脈沖輸入端。對EPROM編程時,在該端加上編程脈沖。讀操作時該信號為1。</p><p> ?、?#160;VPP:編程電壓輸入端。編程時應在該端加上編程高電壓,不同的芯片對VPP的值要求的不一樣,可以是+12.5V,+15V,+21V,+25V等。</p><p><b>  說明:</b></p><p>

59、;  EPROM的一個重要優(yōu)點是可以擦除重寫,而且允許擦除的次數(shù)超過上萬次。一片新的或擦除干凈EPROM芯片,其每一個存儲單元的內容都是FFH。要對一個使用過的EPROM進行編程,則首先應將其放到專門的擦除器上進行擦除操作。擦除器利用紫外線光照射EPROM的窗口,一般經(jīng)過15—20min即可擦除干凈。擦除完畢后可讀一下EPROM的每個單元,若其內容均為FFH,就認為擦除干凈了。</p><p><b>

60、  硬件連接</b></p><p> ?。?)A/D轉換部分</p><p>  因為單片機只能處理數(shù)字信號,所以需要把模擬信號轉換成數(shù)字信號,實現(xiàn)這一轉換功能的器件是A/D轉換器。A/D轉換器是采樣通道的核心,因此,A/D轉換器是影響數(shù)據(jù)采集系統(tǒng)采樣速率和精度的主要因素之一。 </p><p>  數(shù)據(jù)采集系統(tǒng)電路圖如上:</p>&l

61、t;p>  ADC0809是帶有8:1多路模擬開關的8位A/D轉換芯片,所以它可有8個模擬量的輸入端,由芯片的A,B,C三個引腳來選擇模擬通道中的一個。A,B,C三端分別與8051的P1.0~P1.2相接。地址鎖存信號(ALE)和啟動轉換信號(START),由P2.7和/WR或非得到。輸出允許,由P2.7和/RD或非得到。時鐘信號,可有8051的ALE輸出得到,不過當采用6M晶振時,應該先進行二分頻,以滿足ADC0809的時鐘信號

62、必須小于640K的要求。</p><p> ?。?)硬件和單片機的連接部分</p><p>  該部分用來將傳感器輸出的數(shù)字信號進行整形或電平調整,然后再傳給單片機。單片機及外設負責對數(shù)據(jù)采集系統(tǒng)的工作進行管理和控制,并對采集到的數(shù)據(jù)作相應的處理。 </p><p>  (3)D/A轉換部分</p><p>  D/A轉換部分也是數(shù)據(jù)采集

63、系統(tǒng)的一個重要部分,在數(shù)字控制系統(tǒng)中作為關鍵器件,用來把單片機輸出的數(shù)字信號轉換成電壓或電流等模擬信號,并送入執(zhí)行機構進行控制或調節(jié)。</p><p><b> ?。?)外部擴展部分</b></p><p>  單片機進行連續(xù)大量數(shù)據(jù)采集時內部提供的數(shù)據(jù)存儲器是遠遠不夠的這時可利用MCS-51單片機的擴展功能,在芯片外部擴展數(shù)據(jù)存取器。</p><

64、p><b>  擴展原理圖如下</b></p><p><b>  軟件設計</b></p><p><b>  軟件流程</b></p><p><b>  軟件編程</b></p><p>  ORG 0000H</p>&l

65、t;p>  LJMP START</p><p>  START: CLR A</p><p>  MOV P2,A</p><p>  MOV R0,#70H</p><p>  MOV R2,#0DH</p><p>  LOOPMEM: MOV @R0,

66、A</p><p><b>  INC R0</b></p><p>  DJNZ R2, LOOPMEM</p><p>  MOV 20H,#00H ;00H位在20H字節(jié)中</p><p>  MOV A,#0FFH</p><p>  MOV P0,A ;數(shù)據(jù)

67、口</p><p>  MOV P1,A ;段碼口</p><p>  MOV P3,A ;字位口</p><p>  MAIN: LCALL TEST ;調用A/D轉換程序</p><p>  信號采集A/D轉換子程序</p><p>  TEST:

68、 CLR A</p><p><b>  MOV P2,A</b></p><p>  MOV R0,#70H ;通道號地址</p><p>  MOV R7,#08H ;</p><p>  LCALL TESTART</p><p>  WAIT: JB P

69、3.7,MOVD ;EOC=P3.7=1跳</p><p>  AJMP WAIT</p><p>  TESTART: SETB P2.3 ;ALE=1</p><p><b>  NOP</b></p><p><b>  NOP </b></p>

70、<p>  CLR P2.3</p><p>  SETB P2.4 ;START=1</p><p><b>  NOP</b></p><p><b>  NOP</b></p><p>  CLR P2.4 </p><p><

71、;b>  NOP </b></p><p><b>  NOP</b></p><p><b>  NOP</b></p><p><b>  NOP</b></p><p><b>  RET</b></p><p&

72、gt;  MOVD: SETB P2.5 ;OE=1</p><p><b>  MOV A,P0</b></p><p><b>  MOV @R0,A</b></p><p><b>  CLR P2.5</b></p><p>  INC R0

73、 ;指向下一通道</p><p><b>  MOV A,P2</b></p><p>  INC A ;地址加1</p><p><b>  MOV P2,A</b></p><p>  CJNE A,#08H,TESTEND</p><p>  TESTE

74、ND: JC TESTCON ;C=1跳</p><p><b>  CLR A</b></p><p><b>  MOV P2,A</b></p><p>  MOV A,#0FFH</p><p><b>  MOV P0,A</b></p>

75、<p><b>  MOV P1,A</b></p><p><b>  MOV P2,A</b></p><p><b>  RET</b></p><p>  TESTCON: LCALL TESTART</p><p>  LJMP WAIT

76、 </p><p>  采用中斷方式進行A/D轉換的程序</p><p><b>  ORG 0000H</b></p><p><b>  SJMP MAIN</b></p><p><b>  ORG 0013H</b></p><p><b

77、>  SJMP INT1</b></p><p><b>  ORG 0030H</b></p><p>  MAIN: MOV R1,#30H</p><p>  MOV R7,#08H</p><p>  MOV DPTR,#7FFF8H</p><p><

78、;b>  SETB IT1</b></p><p><b>  SETB EX1</b></p><p><b>  SETB EA</b></p><p>  READ: MOVX @DPTR,A</p><p>  HERE: SJMP HERE&l

79、t;/p><p>  DJNE R7,READ</p><p><b>  ……</b></p><p>  INT1: MOVX A,@DPTR</p><p>  MOVX @R1,A</p><p><b>  INC R1</b></p>&l

80、t;p><b>  INC DPTR</b></p><p><b>  RETI </b></p><p><b>  總結</b></p><p>  經(jīng)過幾周的奮戰(zhàn)我的課程設計終于完成了。在沒有做課程設計以前覺得課程設計只是對這幾年來所學知識的單純總結,但是通過這次做課程設計發(fā)現(xiàn)自己的看法

81、有點太片面。課程設計不僅是對前面所學知識的一種檢驗,而且也是對自己能力的一種提高。通過實驗了解了A/D0809的作用以及其的接口作用,C51整個實驗做得作用了解鎖存器的型號及作用,還有編程語言相互嵌套的強大魅力,同時也意識到自己知識的匱乏,對以后的學習是種無形的激勵。通過這次課程設計,我才明白學習是一個長期積累的過程,在以后的工作、生活中都應該不斷的學習,努力提高自己知識和綜合素質。</p><p>  在這次課

82、程設計中也使我們的同學關系更進一步了,同學之間互相幫助,有什么不懂的大家在一起商量,聽聽不同的看法對我們更好的理解知識,所以在這里非常感謝幫助我的同學。總之,不管學會的還是學不會的的確覺得困難比較多,真是萬事開頭難,不知道如何入手。最后終于做完了有種如釋重負的感覺。此外,還得出一個結論:知識必須通過應用才能實現(xiàn)其價值!有些東西以為學會了,但真正到用的時候才發(fā)現(xiàn)是兩回事,所以我認為只有到真正會用的時候才是真的學會了。</p>

83、<p>  在整個設計中我懂得了許多東西,也培養(yǎng)了我獨立工作的能力,樹立了對自己工作能力的信心,相信會對今后的學習工作生活有非常重要的影響。而且大大提高了動手的能力,使我充分體會到了在創(chuàng)造過程中探索的艱難和成功時的喜悅。雖然這個設計做的也不太好,但是在設計過程中所學到的東西是這次課程設計的最大收獲和財富,使我終身受益。本設計基本上實現(xiàn)了對多路數(shù)據(jù)采集的設計,但是由于時間緊湊以及自己的知識水平有限等原因,沒有對所設計的整個系統(tǒng)

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論