2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩12頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p>  電子技術(shù)課程設(shè)計(jì)總結(jié)報(bào)告</p><p>  專 業(yè) 電子信息工程技術(shù)</p><p>  班 級(jí) </p><p>  姓 名 </p><p>  學(xué) 號(hào) </p><p> 

2、 指導(dǎo)教師 </p><p>  課題題目:四路智能搶答器</p><p><b>  一、課程設(shè)計(jì)目的</b></p><p>  本次課程設(shè)計(jì)主要是配合《模擬電子技術(shù)》和《數(shù)字電子技術(shù)》理論課程而設(shè)置的一門實(shí)踐性課程,起到鞏固所學(xué)知識(shí),加強(qiáng)綜合能力,培養(yǎng)電路設(shè)計(jì)能力,提高實(shí)驗(yàn)技術(shù),啟發(fā)創(chuàng)新思想的效果。</p>

3、;<p><b>  二、初始條件:</b></p><p>  本課程設(shè)計(jì),要求用集成電路:74LSl48,74LS192,74LS175,74LS160,74LS00,74LS04,74LS20,74LS48,74LS32,555定時(shí)器和其它器件等,實(shí)現(xiàn)四路定時(shí)搶答功能。 </p><p>  三、要求完成的

4、主要任務(wù):</p><p>  1、 可同時(shí)供4名選手(或代表隊(duì))參賽,其編號(hào)分別是1到4,各用一個(gè)搶答按鈕,按鈕的編號(hào)與選手的編號(hào)相對(duì)應(yīng)。給節(jié)目主持人設(shè)置一個(gè)控制開(kāi)關(guān),用來(lái)控制系統(tǒng)的清零(編號(hào)顯示數(shù)碼管滅燈)和搶答的開(kāi)始。</p><p>  2、搶答器具有數(shù)據(jù)鎖存和顯示的功能。搶答開(kāi)始后,若有選手按動(dòng)搶答按鈕,編號(hào)立即鎖存,并數(shù)碼管上顯示選手的編號(hào),同時(shí)揚(yáng)聲器給出聲音提示;同時(shí)封鎖輸入

5、電路,禁止其它選手搶答。</p><p>  3、搶答器具有定時(shí)搶答的功能,且一次搶答的時(shí)間為10秒。當(dāng)主持人啟動(dòng)“開(kāi)始”鍵后,要求定時(shí)器立即進(jìn)行加計(jì)時(shí),并用顯示器顯示。 </p><p>  4、參賽選手在設(shè)定的時(shí)間內(nèi)進(jìn)行搶答,搶答有效,定時(shí)器停止工作,</p><p>  顯示器上顯示選手的編號(hào)和搶答時(shí)刻的時(shí)間,并保持到主持人將系統(tǒng)清零為止;如果定時(shí)搶答的時(shí)間已

6、到,而沒(méi)有選手搶答時(shí),并封鎖輸入電路,禁止選手超時(shí)后搶答,定時(shí)顯示器上顯示0。</p><p><b>  目 錄</b></p><p>  1功能介紹………………………………………………5</p><p>  1.1主要功能介紹………………………………………5</p><p>  1.2擴(kuò)展功能介紹……………

7、…………………………5</p><p>  2總體方案設(shè)計(jì)…………………………………………5</p><p>  3單元模塊設(shè)計(jì)………………………………………… 6</p><p>  3.1搶答器控制端電路功能紹……………………………6</p><p>  3.2定時(shí)時(shí)間電路…………………………………………7</p><

8、p>  3.3控制電路和報(bào)警電路…………………………….8</p><p>  3.4振蕩電路………………………………………………8</p><p>  4主要芯片介紹 …………………………………………9 4.1優(yōu)先編碼器 74LS148………………………………9</p><p>  4.2 計(jì)數(shù)器74LS192……………………………………10</

9、p><p>  5四人搶答器仿真………………………………………11</p><p>  6系統(tǒng)調(diào)試 ……………………………………………11</p><p>  8 總 結(jié)……………………………………………14 </p><p>  7參考文獻(xiàn)………………………………………………15</p><p><b> 

10、 1功能介紹</b></p><p>  1.1 主要功能介紹 (1)搶答器最多可供4名選手參賽,編號(hào)為1~4號(hào),各隊(duì)分別用一個(gè)按鈕(分別為J1、J2、J3、J5)控制,并設(shè)置一個(gè)系統(tǒng)清零和搶答控制開(kāi)關(guān)J4,J7,該開(kāi)關(guān)由主持人控制。 (2)搶答器具有數(shù)據(jù)鎖存功能,并將鎖存數(shù)據(jù)用LED數(shù)碼管顯示出來(lái),直到主持人清零。 (3)開(kāi)關(guān)J4作為清零及搶答控制開(kāi)關(guān)(由主持人控制),當(dāng)開(kāi)關(guān)J4被按下時(shí)搶答

11、電路清零,當(dāng)開(kāi)關(guān)J7松開(kāi)后則允許搶答。輸入搶答信號(hào)由搶答按鈕開(kāi)關(guān)J1、J2、J3、J5實(shí)現(xiàn)。 (4)有搶答信號(hào)輸入(開(kāi)關(guān)J1、J2、J3、J5中的任意一個(gè)開(kāi)關(guān)被按下)時(shí),并顯示出相 對(duì)應(yīng)的組別號(hào)碼。此時(shí)再按其他任何一個(gè)搶答器開(kāi)關(guān)均無(wú)效,指示燈依舊“保持”第一個(gè)開(kāi)關(guān)按下時(shí)所對(duì)應(yīng)的狀態(tài)不變。</p><p><b>  設(shè)計(jì)要求</b></p><p>  1.2 擴(kuò)

12、展功能介紹 </p><p> ?。?)搶答器具有定時(shí)搶答的功能,且一次搶答的時(shí)間為3秒。當(dāng)節(jié)目主持人啟動(dòng)“開(kāi)始”鍵后,要求定時(shí)器立即減計(jì)時(shí),并用顯示器顯示。</p><p>  (2)參加選手在未開(kāi)始搶答時(shí)按下?lián)尨疰I,則犯規(guī)。顯示器上顯示并閃爍選手的編號(hào)。 </p><p> ?。?)參加選手在設(shè)定的時(shí)間內(nèi)搶答,搶答有效,定時(shí)器停止工作,顯示器上顯示選手的編號(hào)和搶

13、答時(shí)刻的時(shí)間,并保持到主持人將系統(tǒng)清零為止。 </p><p> ?。?)如果定時(shí)搶答的時(shí)間已到,卻沒(méi)有選手搶答時(shí),本次搶答無(wú)效,封鎖輸入電路,禁止選手超時(shí)后搶答,時(shí)間顯示器上顯示并閃爍0。</p><p><b>  2 總體方案設(shè)計(jì)</b></p><p><b>  設(shè)計(jì)要求</b></p><

14、p> ?。?)主持人有開(kāi)始鍵和復(fù)位鍵,按下開(kāi)始鍵后才能開(kāi)始搶答,否則犯規(guī)。</p><p> ?。?)用數(shù)碼管顯示,正常搶答后顯示搶到的隊(duì)號(hào),如果犯規(guī)則閃爍顯示隊(duì)號(hào)。</p><p> ?。?)如果3秒內(nèi)沒(méi)有搶答,則說(shuō)明該題超時(shí)作廢,用0閃爍表示。</p><p> ?。?)復(fù)位鍵用于恢復(fù)犯規(guī)或超時(shí)狀態(tài)</p><p>  如圖1所示為

15、總體方框圖。其工作原理為:接通電源后,主持人將開(kāi)關(guān)撥到"清零"狀態(tài),搶答器處于禁止?fàn)顟B(tài),編號(hào)顯示器滅燈,定時(shí)器顯示設(shè)定時(shí)間;主持人將開(kāi)關(guān)置;開(kāi)始"狀態(tài),宣布"開(kāi)始"搶答器工作。定時(shí)器倒計(jì)時(shí)。選手在定時(shí)時(shí)間內(nèi)搶答時(shí),搶答器完成:優(yōu)先判斷、編號(hào)鎖存、編號(hào)顯示。當(dāng)一輪搶答之后,定時(shí)器停止、禁止二次搶答、定時(shí)器顯示剩余時(shí)間。如果再次搶答必須由主持人再次操作"清除"和"

16、;開(kāi)始"狀態(tài)開(kāi)關(guān)。</p><p><b>  圖1</b></p><p>  3 單元模塊設(shè)計(jì) </p><p>  3.1 搶答器控制端電路功能介紹</p><p>  設(shè)計(jì)電路見(jiàn)圖2所示。電路選用優(yōu)先編碼器 74LS148和鎖存器 74LS175來(lái)完成。</p><p>

17、  該電路主要完成兩個(gè)功能:一是分辨出選手按鍵的先后,并鎖存優(yōu)先搶答者的編號(hào),同時(shí)譯碼顯示電路顯示編號(hào)(顯示電路采用七段數(shù)字?jǐn)?shù)碼顯示管);二是禁止其他選手按鍵,</p><p>  其按鍵操作無(wú)效。工作過(guò)程:開(kāi)關(guān)自上而下,分別是J1,J2,J3 J4,J5,J5是控制清零端,當(dāng)J5閉合(清零)時(shí),計(jì)時(shí)模塊74LS160的MR端和搶答模塊74LS175的MR端都置1,使整個(gè)模塊處于等待工作狀態(tài);當(dāng)J5斷開(kāi)時(shí),搶答器

18、處于等待工作狀態(tài),當(dāng)有選手將搶答按鍵按下時(shí)(如按下J2),74LS148的輸出經(jīng)74LS48譯碼器接到七段顯示電路處于工作狀態(tài),4Q3Q2Q=010,經(jīng)譯碼顯示為“2”。此外,MR=1,使74LS148優(yōu)先編碼工作標(biāo)志端(圖中2號(hào)端)=1,處于禁止?fàn)顟B(tài),封鎖其他按鍵的輸入。當(dāng)按鍵松開(kāi)即按下時(shí),74LS148的 此時(shí)由于仍為CTR=1,使優(yōu)先編碼工作標(biāo)志端為1,所以74LS148仍處于禁止?fàn)顟B(tài),確保不會(huì)出二次按鍵時(shí)輸入信號(hào),保證了搶答者的

19、優(yōu)先性。如有再次搶答需由主持人將J5開(kāi)關(guān)重新置“清零”然后才可能進(jìn)行。 </p><p><b>  圖2</b></p><p>  3.2 定時(shí)時(shí)間電路功能介紹 原理及設(shè)計(jì):</p><p>  該部分主要由555定時(shí)器秒脈沖產(chǎn)生電路、十進(jìn)制同步加法計(jì)數(shù)74LS160、十進(jìn)制減法計(jì)數(shù)器74LS192、74LS48譯碼電路和1個(gè)7段數(shù)碼

20、管即相關(guān)電路組成。具體電路如圖3所示。一塊74LS192實(shí)現(xiàn)減法計(jì)數(shù),通過(guò)譯碼電路74LS48顯示到數(shù)碼管上,其時(shí)鐘信號(hào)由時(shí)鐘產(chǎn)生電路提供。74192的預(yù)置數(shù)控制端實(shí)現(xiàn)預(yù)置數(shù),當(dāng)有人搶答時(shí),停止計(jì)數(shù)并顯示此時(shí)的倒計(jì)時(shí)時(shí)間;如果沒(méi)有人搶答,且倒計(jì)時(shí)時(shí)間到時(shí), 輸出低電平到時(shí)序控制電路,之后選手搶答無(wú)效。</p><p><b>  圖3</b></p><p>  3.

21、3 控制電路和報(bào)警電路 由555芯片構(gòu)成多諧振蕩電路 ,555的輸出信號(hào)再經(jīng)或門控制時(shí)序??刂齐娐钒〞r(shí)序和報(bào)警兩個(gè)電路,如圖3所示??刂齐娐沸杈哂幸韵聨讉€(gè)功能:主持人閉合開(kāi)關(guān),多路搶答器電路和計(jì)時(shí)電路進(jìn)入正常狀態(tài);參賽者按鍵時(shí),搶答電路和計(jì)時(shí)電路停止工作;搶答時(shí)間到,無(wú)人搶答,搶答電路和計(jì)時(shí)電路停止工作。</p><p><b>  3.4 振蕩電路</b></p>

22、<p>  本系統(tǒng)需要產(chǎn)生頻率為500KHZ的脈沖信號(hào),用于觸發(fā)器的CP信號(hào),及頻率為1HZ信號(hào)用于計(jì)時(shí)電路。以上電路可用555定時(shí)器組成,也可用石英晶體組成的振蕩器經(jīng)過(guò)分頻得到。</p><p>  4 主要芯片介紹 4.1 優(yōu)先編碼器 74LS148</p><p>  74LS148為8線-3線優(yōu)先編碼器,表4.1.1為其真值表,表4.1.2為其功能表,圖4.1.1為

23、其管腳圖。</p><p>  74LS148管腳圖 表4.1.2 74LS148 8線—3線二進(jìn)制編碼器真值表 </p><p>  74LS148工作原理如下: 該編碼器有8個(gè)信號(hào)輸入端,3個(gè)二進(jìn)制碼輸出端。此外,電路還設(shè)置了輸入使能端EI,輸出使能端EO和優(yōu)先編碼工作狀態(tài)標(biāo)志GS。 當(dāng)EI=0時(shí),編碼器工作;而當(dāng)EI=1時(shí),則不論8個(gè)輸入端為何種狀態(tài),3個(gè)輸出

24、端均為高電平,且優(yōu)先標(biāo)志端和輸出使能端均為高電平,編碼器處于非工作狀態(tài)。這種情況被稱為輸入低電平有效,輸出也為低電來(lái)有效的情況。當(dāng)EI為0,且至少有一個(gè)輸入端有編碼請(qǐng)求信號(hào)(邏輯0)時(shí),優(yōu)先編碼工作狀態(tài)標(biāo)志GS為0。表明編碼器處于工作狀態(tài),否則為1。</p><p>  由功能表可知,在8個(gè)輸入端均無(wú)低電平輸入信號(hào)和只有輸入0端(優(yōu)先級(jí)別最低位)有低電平輸入時(shí),A2A1A0均為111,出現(xiàn)了輸入條件不同而輸出代碼

25、相同的情況,這可由GS的狀態(tài)加以區(qū)別,當(dāng)GS=1時(shí),表示8個(gè)輸入端均無(wú)低電平輸入,此時(shí)A2A1A0=111為非編碼輸出;GS=0時(shí),A2A1A0=111表示響應(yīng)輸入0端為低電平時(shí)的輸出代碼(編碼輸出)。EO只有在EI為0,且所有輸入端都為1時(shí),輸出為0,它可與另一片同樣器件的EI連接,以便組成更多輸入端的優(yōu)先編碼器。 從功能表不難看出,輸入優(yōu)先級(jí)別的次為7,6,……,0。輸入有效信號(hào)為低電平,當(dāng)某一輸入端有低電平輸入,且比它優(yōu)先

26、級(jí)別高的輸入端無(wú)低電平輸入時(shí),輸出端才輸出相對(duì)應(yīng)的輸入端的代碼。例如5為0。且優(yōu)先級(jí)別比它高的輸入6和輸入7均為1時(shí),輸出代碼為010,這就是優(yōu)先編碼器的工作原理。</p><p>  4.2 計(jì)數(shù)器74LS192</p><p>  74LS192具有下述功能: </p><p> ?、佼惒角辶悖篊R=1,Q3Q2Q1Q0=0000</p>&l

27、t;p> ?、诋惒街脭?shù):CR=0,LD=0,Q3Q2Q1Q0=D3D2D1D0</p><p> ?、郾3郑?CR=0,LD=1,CPU=CPD=1,Q3Q2Q1Q0保持原態(tài) </p><p>  ④加計(jì)數(shù):CR=0, LD=1,CPU=CP,CPD=1,Q3Q2Q1Q0按加法規(guī)律計(jì)數(shù) </p><p>  ⑤減計(jì)數(shù):CR=0, LD=1,CPU=1,CPD

28、= CP,Q3Q2Q1Q0按減法規(guī)律計(jì)數(shù)</p><p>  74LS192是雙時(shí)鐘方式的十進(jìn)制可逆計(jì)數(shù)器。 </p><p>  CPU為加計(jì)數(shù)時(shí)鐘輸入端,CPD為減計(jì)數(shù)時(shí)鐘輸入端。</p><p>  LD為預(yù)置輸入控制端,異步預(yù)置。 </p><p>  CR為復(fù)位輸入端,高電平有效,異步清除。 </p><p>

29、;  CO為進(jìn)位輸出:1001狀態(tài)后負(fù)脈沖輸出 </p><p>  BO為借位輸出:0000狀態(tài)后負(fù)脈沖輸出。</p><p>  圖4.3. 74LS192管腳引線圖</p><p><b>  5四人搶答器仿真</b></p><p>  按照總體電路圖在仿真軟件proteus7.5上一一選擇芯片并進(jìn)行連接,然后啟

30、動(dòng)開(kāi)關(guān)觀察。下面,我們分兩部分對(duì)設(shè)計(jì)出的電路進(jìn)行proteus7.5仿真。我們將各部分電路在proteus7.5上連接好后,為各個(gè)電阻和電容選取適當(dāng)值,為各個(gè)開(kāi)關(guān)設(shè)置好適當(dāng)?shù)逆I盤打開(kāi)數(shù)值(例如,為某一開(kāi)關(guān)設(shè)為1連接,則啟動(dòng)proteus7.5m仿真按鈕后,在鍵盤上按1則此開(kāi)關(guān)就由斷開(kāi)狀態(tài)變?yōu)檫B接狀態(tài))然后打開(kāi)proteus7.5的開(kāi)關(guān),即可根據(jù)顯示器上顯示的數(shù)字以及二極管的亮滅情況來(lái)判斷電路設(shè)計(jì)是否成功。</p><

31、p>  6系統(tǒng)調(diào)試 把上面所設(shè)計(jì)的單元電路連接起來(lái)可得到整機(jī)電路。</p><p>  7.1搶答顯示功能測(cè)試(圖4)</p><p>  7.2清零功能測(cè)試(圖5)</p><p>  7.3倒計(jì)時(shí)功能測(cè)試(圖6)</p><p><b>  圖4</b></p><p><b&g

32、t;  圖5</b></p><p><b>  圖6</b></p><p><b>  6 總結(jié)</b></p><p>  通過(guò)三周的電子技術(shù)實(shí)訓(xùn),我學(xué)會(huì)了很多東西,對(duì)數(shù)字電子技術(shù)有了更深的了解。在計(jì)算機(jī)上模擬數(shù)字電路也熟練了許多。原來(lái)我對(duì)計(jì)算機(jī)不怎么感興趣,更不用說(shuō)在計(jì)算機(jī)上進(jìn)行電路模擬。三周實(shí)訓(xùn)后,

33、對(duì)于模擬電路軟件熟悉了很多。我們的試訓(xùn)是以上機(jī)操作與實(shí)物模擬相結(jié)合的,上機(jī)操作后再做實(shí)務(wù)模擬,通過(guò)電路模擬箱來(lái)實(shí)現(xiàn),讓后再由老師來(lái)指導(dǎo)。</p><p>  在做四路搶答器是我的感觸最深,開(kāi)始時(shí)好興奮的就把四路搶答器做好了,結(jié)果在模擬運(yùn)行時(shí)就發(fā)現(xiàn)了問(wèn)題,當(dāng)選手做完一次搶答后,要恢復(fù)就要按模擬停止鍵。但實(shí)際生活中一次搶答后由主持人按遙控就能恢復(fù)搶答狀態(tài)。之后我又重新修整了電路,這個(gè)問(wèn)題就解決了。</p>

34、<p>  實(shí)訓(xùn)期間我發(fā)現(xiàn)仔細(xì),認(rèn)真,嚴(yán)謹(jǐn)都非常重要,沒(méi)做一個(gè)實(shí)驗(yàn)?zāi)愣紩?huì)發(fā)現(xiàn)許多問(wèn)題,當(dāng)你解決完這些問(wèn)題之后你又能從中學(xué)到許多新的東西,那些都是對(duì)自己很有用的。做實(shí)驗(yàn)要耐得煩,實(shí)驗(yàn)不是一次就能做好的,每一個(gè)電路設(shè)計(jì)總存在一些小問(wèn)題,這就需要你耐心細(xì)致的檢查。</p><p>  通過(guò)實(shí)訓(xùn)不僅把書本上知識(shí)學(xué)扎實(shí)了而且也磨練了自己的性格,在以后的工作和學(xué)習(xí)中它們會(huì)成為我寶貴的財(cái)富。希望以后還能有機(jī)會(huì)上這

35、樣的實(shí)訓(xùn)課。</p><p><b>  7參考文獻(xiàn)</b></p><p>  【1】 閻 石.數(shù)字電子電路. 北京:高等教育出版社. 2007</p><p>  【2】 康華光.電子技術(shù)基礎(chǔ)(數(shù)字部分).北京:高等教育出版社. 2000</p><p>  【3】 任為民.數(shù)字電子電路學(xué)習(xí)和實(shí)驗(yàn)指導(dǎo).北京:廣播

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論