2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩29頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p><b>  摘要</b></p><p>  隨著時(shí)代的進(jìn)步和發(fā)展,單片機(jī)技術(shù)已經(jīng)普及到我們生活、工作、科研、各個(gè)領(lǐng)域,已經(jīng)成為一種比較成熟的技術(shù), 本文主要介紹了一個(gè)基于89S51單片機(jī)的測(cè)溫系統(tǒng),詳細(xì)描述了利用數(shù)字溫度傳感器DS18B20開發(fā)測(cè)溫系統(tǒng)的過程,重點(diǎn)對(duì)傳感器在單片機(jī)下的硬件連接,軟件編程以及各模塊系統(tǒng)流程進(jìn)行了詳盡分析,特別是數(shù)字溫度傳感器DS18B20的數(shù)

2、據(jù)采集過程。對(duì)各部分的電路也一一進(jìn)行了介紹,該系統(tǒng)可以方便的實(shí)現(xiàn)實(shí)現(xiàn)溫度采集和顯示,并可根據(jù)需要任意設(shè)定上下限報(bào)警溫度,它使用起來(lái)相當(dāng)方便,具有精度高、量程寬、靈敏度高、體積小、功耗低等優(yōu)點(diǎn),適合于我們?nèi)粘I詈凸?、農(nóng)業(yè)生產(chǎn)中的溫度測(cè)量,也可以當(dāng)作溫度處理模塊嵌入其它系統(tǒng)中,作為其他主系統(tǒng)的輔助擴(kuò)展。DS18B20與AT89C51結(jié)合實(shí)現(xiàn)最簡(jiǎn)溫度檢測(cè)系統(tǒng),該系統(tǒng)結(jié)構(gòu)簡(jiǎn)單,抗干擾能力強(qiáng),適合于惡劣環(huán)境下進(jìn)行現(xiàn)場(chǎng)溫度測(cè)量,有廣泛的應(yīng)用前景。

3、</p><p>  在學(xué)習(xí)了單片機(jī)的的基本原理的基礎(chǔ)上進(jìn)行設(shè)計(jì)的,綜合利用所學(xué)單片機(jī)知識(shí)完成一個(gè)單片機(jī)應(yīng)用系統(tǒng)設(shè)計(jì)并仿真實(shí)現(xiàn),從而加深對(duì)單片機(jī)軟硬件知識(shí)的理解,獲得初步的應(yīng)用經(jīng)驗(yàn);進(jìn)一步熟悉和掌握單片機(jī)的內(nèi)部結(jié)構(gòu)和工作原理,了解單片機(jī)應(yīng)用系統(tǒng)的基本方法和步驟。本設(shè)計(jì)的目標(biāo)是單片機(jī)和溫度傳感器及其相關(guān)實(shí)現(xiàn)溫度的測(cè)量和數(shù)字顯示,測(cè)量精度小于0.5°C,可以設(shè)置溫度的上下限,超出測(cè)溫范圍可以有蜂鳴器報(bào)警。&

4、lt;/p><p>  本設(shè)計(jì)是一款簡(jiǎn)單實(shí)用的小型數(shù)字溫度計(jì),本次數(shù)字溫度計(jì)的設(shè)計(jì)共分為六部分,主控制器,LED顯示部分,傳感器部分,復(fù)位部分,時(shí)鐘電路,報(bào)警電路。主控制器即單片機(jī)部分,用于存儲(chǔ)程序和控制電路;LED顯示部分是指四位共陰極數(shù)碼管,用來(lái)顯示溫度;傳感器部分,即溫度傳感器,用來(lái)采集溫度,進(jìn)行溫度轉(zhuǎn)換;復(fù)位部分,即復(fù)位電路。測(cè)量的總過程是,傳感器采集到外部環(huán)境的溫度,并進(jìn)行轉(zhuǎn)換后傳到單片機(jī),經(jīng)過單片機(jī)處理判

5、斷后將溫度傳遞到數(shù)碼管顯示。本設(shè)計(jì)能完成的溫度測(cè)量范圍是+35°C~+40.5°C。超出此范圍報(bào)警部分就會(huì)發(fā)出警告。</p><p>  本設(shè)計(jì)設(shè)計(jì)理念是應(yīng)用于小雞的孵化,在小雞孵化室內(nèi),最適孵化溫度為+35°C~+40°C,在超出此范圍時(shí),就會(huì)發(fā)出報(bào)警,并及時(shí)提醒工作人員將室溫調(diào)整致適合溫度。</p><p>  關(guān)鍵詞: 單片機(jī) DS18B20

6、 溫度傳感器 數(shù)字溫度計(jì) AT89S52</p><p><b>  目錄</b></p><p><b>  1 概述3</b></p><p>  1.1 課程設(shè)計(jì)的目的和意義3</p><p>  1.2 設(shè)計(jì)的任務(wù)和要求3</p><p>  2 系統(tǒng)總

7、體方案及硬件設(shè)置4</p><p>  2.1數(shù)字溫度計(jì)設(shè)計(jì)方案論證4</p><p>  2.1.1方案一4</p><p>  2.1.2 方案二4</p><p>  2.2系統(tǒng)總體設(shè)計(jì)4</p><p><b>  2.3系統(tǒng)模塊5</b></p><p&g

8、t;  2.3.1 主控制器5</p><p>  2.3.2 顯示電路6</p><p>  2.3.3溫度傳感器7</p><p>  2.3.4報(bào)警溫度調(diào)整按鍵8</p><p><b>  3軟件設(shè)計(jì)10</b></p><p>  3.1系統(tǒng)總體方案設(shè)計(jì)10</p>

9、;<p>  3.2程序設(shè)計(jì)10</p><p>  3.2.1 主程序10</p><p>  3.2.3二進(jìn)制轉(zhuǎn)換BCD碼命令子程序11</p><p>  3.2.4 計(jì)算溫度子程序12</p><p>  3.2.5溫度數(shù)據(jù)顯示子程序12</p><p><b>  4 實(shí)驗(yàn)仿

10、真13</b></p><p>  5 課程設(shè)計(jì)體會(huì)17</p><p><b>  參考文獻(xiàn)17</b></p><p>  附1 源程序代碼18</p><p>  附2 系統(tǒng)原理圖30</p><p><b>  1 概述</b></p>

11、;<p>  1.1 課程設(shè)計(jì)的目的和意義</p><p>  本次課程設(shè)計(jì)是在我們學(xué)過單片機(jī)后的一次實(shí)習(xí),可增加我們的動(dòng)手能力。特別是對(duì)單片機(jī)的系統(tǒng)設(shè)計(jì)有很大幫助。本課程設(shè)計(jì)由兩個(gè)人共同完成,在鍛煉了自己的同時(shí)也增強(qiáng)了自己的團(tuán)隊(duì)意識(shí)和團(tuán)隊(duì)合作精神。通過課程設(shè)計(jì)使我進(jìn)一步熟悉了單片機(jī)的內(nèi)部結(jié)構(gòu)和工作原理,掌握了單片機(jī)應(yīng)用系統(tǒng)設(shè)計(jì)的基本方法和步驟;通過利用MCS-51單片機(jī),理解單片機(jī)在自動(dòng)化裝置中

12、的作用以及掌握單片機(jī)的編程調(diào)試方法;通過設(shè)計(jì)一個(gè)簡(jiǎn)單的實(shí)際應(yīng)用輸入控制及顯示系統(tǒng),掌握protues和keil以及各種仿真軟件的使用</p><p>  1.2 設(shè)計(jì)的任務(wù)和要求</p><p>  1、基本范圍-50℃-110℃</p><p>  2、精度誤差小于0.5℃</p><p>  3、LED數(shù)碼直讀顯示</p>

13、<p>  4、可以任意設(shè)定溫度的上下限報(bào)警功能</p><p>  5、默認(rèn)報(bào)警范圍35℃-40.5℃</p><p>  2 系統(tǒng)總體方案及硬件設(shè)置</p><p>  2.1數(shù)字溫度計(jì)設(shè)計(jì)方案論證</p><p><b>  2.1.1方案一</b></p><p>  由于本

14、設(shè)計(jì)是測(cè)溫電路,可以使用熱敏電阻之類的器件利用其感溫效應(yīng),在將隨被測(cè)溫度變化的電壓或電流采集過來(lái),進(jìn)行A/D轉(zhuǎn)換后,就可以用單片機(jī)進(jìn)行數(shù)據(jù)的處理,在顯示電路上,就可以將被測(cè)溫度顯示出來(lái),這種設(shè)計(jì)需要用到A/D轉(zhuǎn)換電路,其中還涉及到電阻與溫度的對(duì)應(yīng)值的計(jì)算,感溫電路比較麻煩。而且在對(duì)采集的信號(hào)進(jìn)行放大時(shí)容易受溫度的影響出現(xiàn)較大的偏差。</p><p>  2.1.2 方案二 </p><p>

15、;  進(jìn)而考慮到用溫度傳感器,在單片機(jī)電路設(shè)計(jì)中,大多都是使用傳感器,所以這是非常容易想到的,所以可以采用一只溫度傳感器DS18B20,此傳感器,可以很容易直接讀取被測(cè)溫度值,進(jìn)行轉(zhuǎn)換,電路簡(jiǎn)單,精度高,軟硬件都以實(shí)現(xiàn),而且使用單片機(jī)的接口便于系統(tǒng)的再擴(kuò)展,滿足設(shè)計(jì)要求。</p><p>  從以上兩種方案,很容易看出,采用方案二,電路比較簡(jiǎn)單,費(fèi)用較低,可靠性高,軟件設(shè)計(jì)也比較簡(jiǎn)單,故采用了方案二。</p

16、><p><b>  2.2系統(tǒng)總體設(shè)計(jì)</b></p><p>  溫度計(jì)電路設(shè)計(jì)總體設(shè)計(jì)方框圖如圖1所示,控制器采用單片機(jī)AT89S51,溫度傳感器采用DS18B20,用3位LED數(shù)碼管以串口傳送數(shù)據(jù)實(shí)現(xiàn)溫度顯示。</p><p>  圖2.2—1 總體設(shè)計(jì)方框圖</p><p>  圖2.2—2系統(tǒng)仿真圖</p&

17、gt;<p><b>  2.3系統(tǒng)模塊</b></p><p>  系統(tǒng)由單片機(jī)最小系統(tǒng)、顯示電路、按鍵、溫度傳感器等組成。</p><p>  2.3.1 主控制器</p><p>  單片機(jī)AT89S51具有低電壓供電和體積小等特點(diǎn),四個(gè)端口只需要兩個(gè)口就能滿足電路系統(tǒng)的設(shè)計(jì)需要,很適合便攜手持式產(chǎn)品的設(shè)計(jì)使用系統(tǒng)可用二節(jié)電

18、池供電。晶振采用12MHZ。復(fù)位電路采用上電加按鈕復(fù)位。</p><p><b>  。</b></p><p>  圖2.3.1—1晶振電路</p><p>  圖2.3.1—2復(fù)位電路</p><p>  2.3.2 顯示電路</p><p>  顯示電路采用4位共陰極LED數(shù)碼管,P0口由上

19、拉電阻提高驅(qū)動(dòng)能力,作為段碼輸出并作為數(shù)碼管的驅(qū)動(dòng)。P2口的低四位作為數(shù)碼管的位選端。采用動(dòng)態(tài)掃描的方式顯示。</p><p>  圖2.3.2 數(shù)碼管顯示電路</p><p>  2.3.3溫度傳感器</p><p>  DS18B20溫度傳感器是美國(guó)DALLAS半導(dǎo)體公司最新推出的一種改進(jìn)型智能溫度傳感器,與傳統(tǒng)的熱敏電阻等測(cè)溫元件相比,它能直接讀出被測(cè)溫度,并

20、且可根據(jù)實(shí)際要求通過簡(jiǎn)單的編程實(shí)現(xiàn)9~12位的數(shù)字值讀數(shù)方式。DS18B20的性能特點(diǎn)如下:</p><p>  1、獨(dú)特的單線接口僅需要一個(gè)端口引腳進(jìn)行通信;</p><p>  2、多個(gè)DS18B20可以并聯(lián)在惟一的三線上,實(shí)現(xiàn)多點(diǎn)組網(wǎng)功能</p><p><b>  3、無(wú)須外部器件;</b></p><p>  

21、4、可通過數(shù)據(jù)線供電,電壓范圍為3.0~5.5V;</p><p><b>  5、零待機(jī)功耗;</b></p><p>  6、溫度以9或12位數(shù)字;</p><p>  7、用戶可定義報(bào)警設(shè)置;</p><p>  8、報(bào)警搜索命令識(shí)別并標(biāo)志超過程序限定溫度(溫度報(bào)警條件)的器件;</p><p&

22、gt;  9、負(fù)電壓特性,電源極性接反時(shí),溫度計(jì)不會(huì)因發(fā)熱而燒毀,但不能正常工作;</p><p>  DS18B02可以采用兩種方式供電,一種是采用電源供電方式,此時(shí)DS18B20的1腳接地,2腳作為信號(hào)線,3腳接電源。另一種是寄生電源供電方式,如圖4 所示單片機(jī)端口接單線總線,為保證在有效的DS18B20時(shí)鐘周期內(nèi)提供足夠的電流,可用一個(gè)MOSFET管來(lái)完成對(duì)總線的上拉。</p><p&g

23、t;  當(dāng)DS18B20處于寫存儲(chǔ)器操作和溫度A/D轉(zhuǎn)換操作時(shí),總線上必須有強(qiáng)的上拉,上拉開啟時(shí)間最大為10us。采用寄生電源供電方式時(shí)VDD端接地。由于單線制只有一根線,因此發(fā)送接口必須是三態(tài)的。</p><p>  圖2.3.3 溫度傳感器與單片機(jī)的連接</p><p>  2.3.4報(bào)警溫度調(diào)整按鍵</p><p>  本系統(tǒng)設(shè)計(jì)三個(gè)按鍵,采用查詢方式,一個(gè)用

24、于選擇切換設(shè)置報(bào)警溫度和當(dāng)前溫度,另外兩個(gè)分別用于設(shè)置報(bào)警溫度的加和減。均采用軟件消抖。</p><p>  圖2.3.4 按鍵電路</p><p><b>  3軟件設(shè)計(jì)</b></p><p>  3.1系統(tǒng)總體方案設(shè)計(jì)</p><p>  本次課程設(shè)計(jì)采用的是protues軟件仿真,用Keil軟件進(jìn)行編譯。Prot

25、ues軟件是英國(guó)Labcenter electronics公司出版的EDA工具軟件。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機(jī)及外圍器件。它是目前最好的仿真單片機(jī)及外圍器件的工具。</p><p>  軟件部分由主程序,讀出溫度子程序,溫度轉(zhuǎn)換命令子程序,計(jì)算溫度子程序,顯示數(shù)據(jù)刷新子程序等。</p><p><b>  開始</b></p>

26、<p><b>  初始化</b></p><p><b>  調(diào)顯示子程序</b></p><p><b>  得出溫度總子程序</b></p><p><b>  返回</b></p><p>  圖3-1 主程序流程</p>

27、<p><b>  3.2程序設(shè)計(jì)</b></p><p><b>  3.2.1 主程序</b></p><p>  主程序的主要功能是負(fù)責(zé)溫度的實(shí)時(shí)顯示、讀出并處理DS18B20的測(cè)量的當(dāng)前溫度值,溫度測(cè)量每1s進(jìn)行一次。這樣可以在一秒之內(nèi)測(cè)量一次被測(cè)溫度,其程序流程見圖3-1所示。</p><p>  3

28、.2.2 讀出溫度子程序</p><p>  讀出溫度子程序的主要功能是讀出RAM中的9字節(jié),在讀出時(shí)需進(jìn)行CRC校驗(yàn),校驗(yàn)有錯(cuò)時(shí)不進(jìn)行溫度數(shù)據(jù)的改寫。其程序流程圖如圖3-2所示</p><p>  圖3-2 讀出溫度子程序流程</p><p>  3.2.3二進(jìn)制轉(zhuǎn)換BCD碼命令子程序 </p><p>  二進(jìn)制轉(zhuǎn)換BCD碼命令子程序主

29、要是發(fā)溫度轉(zhuǎn)換開始命令,當(dāng)采用12位分辨率時(shí)轉(zhuǎn)換時(shí)間約為750ms,在本程序設(shè)計(jì)中采用1s顯示程序延時(shí)法等待轉(zhuǎn)換的完成。二進(jìn)制轉(zhuǎn)換BCD碼命令子程序流程圖,如圖3-3</p><p>  圖3-3二進(jìn)制轉(zhuǎn)換BCD碼流程圖</p><p>  3.2.4 計(jì)算溫度子程序</p><p>  將RAM中讀取值進(jìn)行BCD碼的轉(zhuǎn)換運(yùn)算,并進(jìn)行溫度值正負(fù)的判定,其程序流程圖如

30、圖3-4所示。</p><p>  圖3-4  測(cè)量溫度流程圖 </p><p>  3.2.5溫度數(shù)據(jù)顯示子程序</p><p>  顯示數(shù)據(jù)子程序主要是對(duì)顯示緩沖器中的顯示數(shù)據(jù)進(jìn)行刷新操作,查表送段碼至LED,開位碼顯示,采用動(dòng)態(tài)掃描方式。</p><p><b>  4 實(shí)驗(yàn)仿真</b></p>&l

31、t;p>  進(jìn)入protuse后,連接好電路,并將程序下載進(jìn)去。將DS18B20的改為0.1,數(shù)碼管顯示溫度與傳感器的溫度相同。</p><p>  圖4—1 溫度顯示仿真</p><p>  當(dāng)按下SET鍵一次時(shí),進(jìn)入溫度報(bào)警上線調(diào)節(jié),此時(shí)顯示軟件設(shè)置的溫度報(bào)警上線,按ADD或DEC分別對(duì)報(bào)警溫度進(jìn)行加一或減一。</p><p>  當(dāng)再次按下SET鍵時(shí),進(jìn)

32、入溫度報(bào)警下線調(diào)節(jié),此時(shí)顯示軟件設(shè)置的溫度報(bào)警下線,按ADD或DEC分別對(duì)報(bào)警溫度進(jìn)行加一或減一。</p><p>  圖4—2 溫度調(diào)試仿真</p><p>  當(dāng)?shù)谌伟聪耂ET鍵時(shí),退出溫度報(bào)警線設(shè)置。顯示當(dāng)前溫度。</p><p><b>  實(shí)驗(yàn)結(jié)果實(shí)物圖:</b></p><p><b>  電路板

33、背面飛線圖:</b></p><p><b>  室溫測(cè)試結(jié)果:</b></p><p><b>  5 課程設(shè)計(jì)體會(huì)</b></p><p>  通過這次對(duì)數(shù)字溫度計(jì)的設(shè)計(jì)與制作,讓我了解了設(shè)計(jì)電路的程序,也讓我了解了關(guān)于數(shù)字溫度計(jì)的原理與設(shè)計(jì)理念,要設(shè)計(jì)一個(gè)電路總要先用仿真仿真成功之后才實(shí)際接線的。但是最后

34、的成品卻不一定與仿真時(shí)完全一樣,因?yàn)?,再?shí)際接線中有著各種各樣的條件制約著。而且,在仿真中無(wú)法成功的電路接法,在實(shí)際中因?yàn)樾酒旧淼奶匦远軌虺晒?。所以,在設(shè)計(jì)時(shí)應(yīng)考慮兩者的差異,從中找出最適合的設(shè)計(jì)方法。</p><p>  通過這次學(xué)習(xí),讓我對(duì)各種電路都有了大概的了解,所以說,坐而言不如立而行,對(duì)于這些電路還是應(yīng)該自己動(dòng)手實(shí)際操作才會(huì)有深刻理解。</p><p>  在焊接過程中我曾將

35、溫度傳感器的電源、地焊反啦,導(dǎo)致溫度傳感器急劇發(fā)熱,后經(jīng)觀察和查詢資料才得以改正。</p><p>  從這次的課程設(shè)計(jì)中,我真真正正的意識(shí)到,在以后的學(xué)習(xí)中,要理論聯(lián)系實(shí)際,把我們所學(xué)的理論知識(shí)用到實(shí)際當(dāng)中,學(xué)習(xí)單機(jī)片機(jī)更是如此,程序只有在經(jīng)常的寫與讀的過程中才能提高,這就是我在這次課程設(shè)計(jì)中的最大收獲。</p><p><b>  參考文獻(xiàn)</b></p&g

36、t;<p>  [1] 康華光,陳大欽,張林.電子技術(shù)基礎(chǔ) 模擬部分(第五版).高等教育出版社.2006.103-108</p><p>  [2]余發(fā)山.單片機(jī)原理及應(yīng)用技術(shù).中國(guó)礦業(yè)大學(xué)出版社,2003.31-32</p><p>  [3] 51單片機(jī)學(xué)習(xí)網(wǎng) </p><p>  [4] 康華光,陳大欽,張林.電子技術(shù)基礎(chǔ) 數(shù)字部分(第五版).

37、高等教育出版社.2006.103-108</p><p><b>  附1 源程序代碼</b></p><p>  /******************************************************************</p><p>  程序名稱:DS18B20溫度測(cè)量、報(bào)警系統(tǒng)</p><

38、p>  簡(jiǎn)要說明:DS18B20溫度計(jì),溫度測(cè)量范圍0~99.9攝氏度</p><p>  可設(shè)置上限報(bào)警溫度、下限報(bào)警溫度</p><p>  即高于上限值或者低于下限值時(shí)蜂鳴器報(bào)警</p><p>  默認(rèn)上限報(bào)警溫度為40.5℃、默認(rèn)下限報(bào)警溫度為35℃</p><p>  報(bào)警值可設(shè)置范圍:最低上限報(bào)警值等于當(dāng)前下限報(bào)警值<

39、;/p><p>  最高下限報(bào)警值等于當(dāng)前上限報(bào)警值</p><p>  將下限報(bào)警值調(diào)為0時(shí)為關(guān)閉下限報(bào)警功能</p><p>  特別加入防抖動(dòng)的中斷延時(shí)程序 </p><p>  編 寫:JiangX.net</p><p>  最后更新:09/04/16晚于寢室</p><p>

40、  ******************************************************************/</p><p>  #include <AT89X52.h></p><p>  #include "DS18B20.h" </p><p>  #define uint unsigned i

41、nt</p><p>  #define uchar unsigned char //宏定義</p><p>  #define SET P3_1 //定義調(diào)整鍵</p><p>  #define DEC P3_2 //定義減少鍵</p><p>  #define ADD P3_3 //定義增加鍵</p&g

42、t;<p>  #define BEEP P3_7 //定義蜂鳴器</p><p>  bit shanshuo_st; //閃爍間隔標(biāo)志</p><p>  bit beep_st; //蜂鳴器間隔標(biāo)志</p><p>  sbit DIAN = P0^7; //小數(shù)點(diǎn)</p><p>  u

43、char x=0; //計(jì)數(shù)器</p><p>  signed char m; //溫度值全局變量</p><p>  uchar n; //溫度值全局變量</p><p>  uchar set_st=0; //狀態(tài)標(biāo)志</p><p>  signed char shangxian=40.5; //

44、上限報(bào)警溫度,默認(rèn)值為40.5</p><p>  signed char xiaxian=35; //下限報(bào)警溫度,默認(rèn)值為35</p><p>  uchar code LEDData[]={0x3F,0x06,0x5B,0x4F,0x66,0x6D,0x7D,0x07,0x7F,0x6F,0x00};</p><p>  unsigned int Rea

45、dTemperature(void);</p><p>  voiddelay1(ucharz)</p><p><b>  {</b></p><p><b>  uintx,y;</b></p><p>  for(x=z;x>0;x--)</p><p> 

46、 for(y=110;y>0;y--);</p><p><b>  }</b></p><p>  /*****延時(shí)子程序*****/</p><p>  void Delay(uint num)</p><p><b>  {</b></p><p>  while

47、( --num );</p><p><b>  }</b></p><p>  /*****初始化定時(shí)器0*****/</p><p>  void InitTimer(void)</p><p><b>  {</b></p><p><b>  TMOD=0x

48、1;</b></p><p><b>  TH0=0x3c;</b></p><p>  TL0=0xb0; //50ms(晶振12M)</p><p><b>  }</b></p><p>  /*****定時(shí)器0中斷服務(wù)程序*****/</p><p&g

49、t;  void timer0(void) interrupt 1 using 0</p><p><b>  {</b></p><p><b>  TH0=0x3c;</b></p><p><b>  TL0=0xb0;</b></p><p><b>  x+

50、+;</b></p><p><b>  }</b></p><p>  /*****外部中斷0服務(wù)程序*****/</p><p>  void int0(void) interrupt 0using 1</p><p><b>  {</b></p><p>

51、;  EX0=0; //關(guān)外部中斷0</p><p>  if(DEC==0&&set_st==1)</p><p><b>  {</b></p><p>  delay1(10);</p><p>  if(DEC==0&&set_st==1)</p><

52、p><b>  {</b></p><p>  shangxian--;</p><p>  if(shangxian<xiaxian)shangxian=xiaxian;</p><p><b>  }</b></p><p><b>  }</b></p&

53、gt;<p>  else if(DEC==0&&set_st==2)</p><p><b>  {</b></p><p>  delay1(10);</p><p>  if(DEC==0&&set_st==2)</p><p><b>  {</b&g

54、t;</p><p>  xiaxian--;</p><p>  if(xiaxian<0)xiaxian=0;</p><p><b>  }</b></p><p><b>  }</b></p><p><b>  }</b></p&

55、gt;<p>  /*****外部中斷1服務(wù)程序*****/</p><p>  void int1(void) interrupt 2 using 2</p><p><b>  {</b></p><p>  EX1=0; //關(guān)外部中斷1</p><p>  if(ADD==0&&a

56、mp;set_st==1)</p><p><b>  {</b></p><p>  delay1(10);</p><p>  if(ADD==0&&set_st==1)</p><p><b>  {</b></p><p>  shangxian++;

57、</p><p>  if(shangxian>99)shangxian=99;</p><p><b>  }</b></p><p><b>  }</b></p><p>  else if(ADD==0&&set_st==2)</p><p>&

58、lt;b>  {</b></p><p>  delay1(10);</p><p>  if(ADD==0&&set_st==2)</p><p><b>  {</b></p><p>  xiaxian++;</p><p>  if(xiaxian>

59、shangxian)xiaxian=shangxian;</p><p><b>  }</b></p><p><b>  } </b></p><p><b>  }</b></p><p>  /*****讀取溫度*****/</p><p> 

60、 void check_wendu(void)</p><p><b>  {</b></p><p>  uint a,b,c;</p><p>  c=ReadTemperature()-5; //獲取溫度值并減去DS18B20的溫漂誤差</p><p>  a=c/100; //計(jì)算得到十位數(shù)字</

61、p><p>  b=c/10-a*10; //計(jì)算得到個(gè)位數(shù)字</p><p>  m=c/10; //計(jì)算得到整數(shù)位</p><p>  n=c-a*100-b*10; //計(jì)算得到小數(shù)位</p><p>  if(m<0){m=0;n=0;} //設(shè)置溫度顯示上限</p><p>  i

62、f(m>99){m=99;n=9;} //設(shè)置溫度顯示上限 </p><p><b>  }</b></p><p>  /*****顯示開機(jī)初始化等待畫面*****/</p><p>  Disp_init() </p><p><b>  {</b></p>

63、<p>  P0 = 0x40; //顯示-</p><p><b>  P1= 0xf7;</b></p><p>  Delay(200);</p><p>  P1 = 0xfb;</p><p>  Delay(200); </p><p>  P1 = 0xfd;

64、</p><p>  Delay(200);</p><p>  P1 = 0xfe;</p><p>  Delay(200);</p><p>  P1 = 0xff; //關(guān)閉顯示</p><p><b>  }</b></p><p>  /****

65、*顯示溫度子程序*****/</p><p>  Disp_Temperature() //顯示溫度</p><p>  { P1 = 0xf7;</p><p>  P0 =0x39; //顯示C</p><p>  Delay(300);</p><p>  P1 = 0xfb;</p&g

66、t;<p>  P0 =LEDData[n]; //顯示個(gè)位</p><p>  Delay(300);</p><p>  P1 = 0xfd;</p><p>  P0 =LEDData[m%10]; //顯示十位</p><p>  DIAN = 1; //顯示小數(shù)點(diǎn)</p>&l

67、t;p>  Delay(300);</p><p>  P1 = 0xfe;</p><p>  P0 =LEDData[m/10]; //顯示百位</p><p>  Delay(300);</p><p>  P1 = 0xff; //關(guān)閉顯示</p><p><b>  }&

68、lt;/b></p><p>  /*****顯示報(bào)警溫度子程序*****/</p><p>  Disp_alarm(uchar baojing)</p><p>  {P1 = 0xf7;</p><p>  P0 =0x39; //顯示C</p><p>  Delay(200);</p&g

69、t;<p>  P1 = 0xfb;</p><p>  P0 =LEDData[baojing%10]; //顯示十位</p><p>  Delay(200);</p><p>  P1 = 0xfd;</p><p>  P0 =LEDData[baojing/10]; //顯示百位</p><p>

70、;  Delay(200);</p><p>  P1 = 0xfe;</p><p>  if(set_st==1)P0 =0x76;</p><p>  else if(set_st==2)P0 =0x38; //上限H、下限L標(biāo)示</p><p>  Delay(202);</p><p>  P1 = 0xff

71、; //關(guān)閉顯示</p><p><b>  }</b></p><p>  /*****報(bào)警子程序*****/</p><p>  void Alarm()</p><p>  { unsigned int i;</p><p><b>  { </b&

72、gt;</p><p>  for(i=0;i<200;i++)//喇叭發(fā)聲的時(shí)間循環(huán),改變大小可以改變發(fā)聲時(shí)間長(zhǎng)短</p><p><b>  {</b></p><p>  Delay(30);//參數(shù)決定發(fā)聲的頻率,估算值</p><p>  BEEP=!BEEP;</p><p>&

73、lt;b>  }</b></p><p>  BEEP=0.5; //喇叭停止工作,間歇的時(shí)間,可更改</p><p>  Delay(20000);</p><p><b>  }</b></p><p><b>  }</b></p><p&g

74、t;  /*****主函數(shù)*****/</p><p>  void main(void)</p><p><b>  {</b></p><p><b>  uint z;</b></p><p>  InitTimer(); //初始化定時(shí)器</p><p>  E

75、A=1; //全局中斷開關(guān)</p><p><b>  TR0=1;</b></p><p>  ET0=1; //開啟定時(shí)器0</p><p>  IT0=1; </p><p><b>  IT1=1;</b></p><p>  che

76、ck_wendu();</p><p>  check_wendu();</p><p>  for(z=0;z<300;z++)</p><p><b>  {</b></p><p>  Disp_init(); </p><p><b>  }</b>

77、;</p><p><b>  while(1)</b></p><p><b>  {</b></p><p>  if(SET==0)</p><p><b>  {</b></p><p>  Delay(2000);</p><

78、;p>  do{}while(SET==0);</p><p>  set_st++;x=0;shanshuo_st=1;</p><p>  if(set_st>2)set_st=0;</p><p><b>  }</b></p><p>  if(set_st==0)</p><p&

79、gt;<b>  {</b></p><p>  EX0=0; //關(guān)閉外部中斷0</p><p>  EX1=0; //關(guān)閉外部中斷1</p><p>  check_wendu();</p><p>  Disp_Temperature();</p><p>  if(m>=

80、shangxian)</p><p><b>  P1_4=1;</b></p><p><b>  else </b></p><p><b>  P1_4=0;</b></p><p>  if(m<xiaxian)</p><p><b

81、>  P1_5=1;</b></p><p><b>  else </b></p><p><b>  P1_5=0;</b></p><p>  if((m>=shangxian)||(m<xiaxian))</p><p><b>  {</b&g

82、t;</p><p>  Alarm(); //報(bào)警檢測(cè)</p><p><b>  }</b></p><p><b>  }</b></p><p>  else if(set_st==1)</p><p><b>  {</b></p&g

83、t;<p>  BEEP=1; //關(guān)閉蜂鳴器</p><p>  EX0=1; //開啟外部中斷0</p><p>  EX1=1; //開啟外部中斷1</p><p>  if(x>=10){shanshuo_st=~shanshuo_st;x=0;}</p><p>  if(shanshuo_st

84、) {Disp_alarm(shangxian);}</p><p><b>  }</b></p><p>  else if(set_st==2)</p><p><b>  {</b></p><p>  BEEP=1; //關(guān)閉蜂鳴器</p><p>  EX0

85、=1; //開啟外部中斷0</p><p>  EX1=1; //開啟外部中斷1</p><p>  if(x>=10){shanshuo_st=~shanshuo_st;x=0;}</p><p>  if(shanshuo_st) {Disp_alarm(xiaxian);}</p><p><b>  }&l

86、t;/b></p><p><b>  }</b></p><p><b>  }</b></p><p>  /*****END*****/</p><p><b>  附2 系統(tǒng)原理圖</b></p><p>  復(fù)位電路

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論