2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩62頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p>  本科畢業(yè)設(shè)計(jì)(論文)</p><p><b>  (二零 屆)</b></p><p>  信號(hào)調(diào)制通信系統(tǒng)設(shè)計(jì)</p><p>  所在學(xué)院 </p><p>  專(zhuān)業(yè)班級(jí) 電子信息工程 </p>

2、<p>  學(xué)生姓名 學(xué)號(hào) </p><p>  指導(dǎo)教師 職稱(chēng) </p><p>  完成日期 年 月 </p><p><b>  摘 要</b></p><p>  21世

3、紀(jì)是信息時(shí)代,人們的生活充滿(mǎn)著信息的氣息。電視機(jī),電話機(jī),傳真機(jī)等等都是信息的產(chǎn)物。然而,信息的傳遞是一種特殊的過(guò)程。以前傳統(tǒng)的模擬通信是采用調(diào)頻(FM)和調(diào)幅(AM)兩種方式,但是這已經(jīng)不適應(yīng)當(dāng)今高速數(shù)據(jù)傳輸?shù)耐ㄐ乓罅恕,F(xiàn)在,數(shù)字無(wú)線數(shù)據(jù)通信方式已經(jīng)成為主力軍,它包括振幅鍵控、移頻鍵控和相移鍵控。它有誤碼率低,抗噪聲性能好等優(yōu)點(diǎn)。本設(shè)計(jì)是根據(jù)數(shù)字信號(hào)FSK/PSK調(diào)制的工作原理,采用模塊化的設(shè)計(jì)方法來(lái)設(shè)計(jì)一種基于FPGA的信號(hào)調(diào)制通

4、信系統(tǒng)。</p><p>  本設(shè)計(jì)在Xilinx公司ISE6.0 開(kāi)發(fā)軟件平臺(tái)上,利用VHDL語(yǔ)言運(yùn)用自頂向下的系統(tǒng)設(shè)計(jì)方法,在FPGA芯片上設(shè)計(jì)FSK/PSK系統(tǒng)。在系統(tǒng)設(shè)計(jì)完畢后,通過(guò)modelsim6.1b集成環(huán)境進(jìn)行仿真、綜合、驗(yàn)證。</p><p>  關(guān)鍵詞:移頻鍵控(FSK),相移鍵控(PSK),F(xiàn)PGA </p><p>  The design

5、of signal modulation communication system</p><p><b>  Abstract</b></p><p>  The 21st century is the information age, people's life is full of information on the air. TV, telephon

6、es, fax machines, etc. are all products of the information. However, the information transfer is a special process.Long ago, the communication is using of traditional analog frequency modulation (FM) and amplitude modula

7、tion (AM) in two ways, but this has not suited to today's high-speed data communication request. Now, the digital wireless data communication has become the main force, which inc</p><p>  The design is b

8、ased on the Xilinx ISE6.0 development software platform ,using VHDL language and the top-down system design approach to design FSK / PSK system on the FPGA chip. The simulation, synthesis, verification is based on the in

9、tegration environment of modelsim6.1b after the modulation system design.</p><p>  Keywords: frequency shift keying(FSK),phase shift keying(PSK), FPGA</p><p><b>  目 錄</b></p>

10、<p><b>  摘 要I</b></p><p>  AbstractII</p><p><b>  1 緒論1</b></p><p>  1.1 課題的來(lái)源和意義1</p><p>  1.2 信號(hào)調(diào)制技術(shù)國(guó)內(nèi)外發(fā)展現(xiàn)狀1</p><p>  

11、1.3 信號(hào)調(diào)制技術(shù)的發(fā)展方向2</p><p>  1.4信號(hào)調(diào)制技術(shù)面臨的問(wèn)題2</p><p>  1.5 課題研究的主要內(nèi)容2</p><p>  2 信號(hào)調(diào)制系統(tǒng)的概述4</p><p>  2.1 信號(hào)調(diào)制的概念4</p><p>  2.2 信號(hào)調(diào)制的作用4</p><p&

12、gt;  2.3 信號(hào)調(diào)制的分類(lèi)4</p><p>  2.4 信號(hào)調(diào)制系統(tǒng)的基本結(jié)構(gòu)4</p><p>  2.4.1 FSK調(diào)制信號(hào)產(chǎn)生原理5</p><p>  2.4.2 PSK調(diào)制原理5</p><p>  3 信號(hào)調(diào)制通信系統(tǒng)硬件電路設(shè)計(jì)7</p><p>  3.1 信號(hào)調(diào)制通信系統(tǒng)的組成7&

13、lt;/p><p>  3.2 信號(hào)調(diào)制系統(tǒng)各模塊設(shè)計(jì)方法7</p><p>  3.2.1 分頻器設(shè)計(jì)方法7</p><p>  3.2.2 選擇器設(shè)計(jì)方法8</p><p>  3.2.3 M序列產(chǎn)生器設(shè)計(jì)方法8</p><p>  3.2.4 正弦波信號(hào)產(chǎn)生器設(shè)計(jì)方法9</p><p&

14、gt;  3.2.5 跳變檢測(cè)設(shè)計(jì)方法10</p><p>  3.3 信號(hào)調(diào)制系統(tǒng)工作原理11</p><p>  4 基于FPGA的信號(hào)調(diào)制通信系統(tǒng)軟件設(shè)計(jì)12</p><p>  4.1 基于FPGA的信號(hào)調(diào)制概念12</p><p>  4.2 主要設(shè)計(jì)實(shí)現(xiàn)目標(biāo)12</p><p>  4.3 信號(hào)調(diào)

15、制系統(tǒng)各模塊設(shè)計(jì)12</p><p>  4.3.1 分頻器模塊設(shè)計(jì)12</p><p>  4.3.2 M序列產(chǎn)生器模塊設(shè)計(jì)13</p><p>  4.3.3 正弦波產(chǎn)生器模塊設(shè)計(jì)15</p><p>  4.4 信號(hào)調(diào)制系統(tǒng)各功能設(shè)計(jì)21</p><p>  4.4.1 正弦波調(diào)頻功能設(shè)計(jì)21<

16、/p><p>  4.4.2 正弦波調(diào)相功能設(shè)計(jì)26</p><p>  4.5 系統(tǒng)綜合功能29</p><p><b>  結(jié) 論40</b></p><p>  參 考 文 獻(xiàn)42</p><p>  致 謝錯(cuò)誤!未定義書(shū)簽。</p><p><b>

17、  附 錄44</b></p><p><b>  1 緒論</b></p><p>  1.1 課題的來(lái)源和意義</p><p>  自從進(jìn)入21世紀(jì),人類(lèi)開(kāi)始進(jìn)入了信息化時(shí)代,信息已成為生活的必不可少的內(nèi)容。人們的得知都來(lái)源于信息的高速傳播。古代的信息傳播靠傳統(tǒng)的信件,其傳輸速度非常慢,無(wú)法滿(mǎn)足當(dāng)今人類(lèi)的信息需求量。因此,信息

18、的傳遞方式必須適應(yīng)信息時(shí)代。</p><p>  本設(shè)計(jì)研究的是設(shè)計(jì)信號(hào)調(diào)制系統(tǒng),之所以要設(shè)計(jì)這個(gè)項(xiàng)目,是因?yàn)樾盘?hào)調(diào)制在人們的生活中已經(jīng)不可分割了。信號(hào)調(diào)制在信號(hào)的傳輸中起了不可代替的作用。</p><p>  很久很久以前,人們?cè)?jīng)尋找各種方法,用來(lái)實(shí)現(xiàn)信號(hào)傳輸。例如,我們古代利用烽火來(lái)傳送邊疆報(bào)警信號(hào),這種光信號(hào)的傳輸成為了我國(guó)最造的通信系統(tǒng)。1837年的莫爾斯發(fā)明了電報(bào)。后來(lái)在187

19、6年,貝爾發(fā)明了電話,將聲信號(hào)轉(zhuǎn)換為點(diǎn)信號(hào)沿著導(dǎo)線直接傳送。19世紀(jì),人們又開(kāi)始研究用電磁波傳送無(wú)線電信號(hào)[1]。一直到今天,傳送信號(hào)的速度越來(lái)越快,這些就是歸功于信號(hào)的快速傳輸。而信號(hào)的傳輸并非直接傳輸,而是要經(jīng)過(guò)特殊的處理之后,才能有效的,高速的,正確的接收到信號(hào)。而且,信號(hào)的長(zhǎng)距離傳輸必定會(huì)損耗能量,影響最后的接收。</p><p>  所以,為達(dá)到減少傳輸時(shí)的耗損的目的,一般情況人們第一步對(duì)傳輸信號(hào)進(jìn)行必

20、要的處理,然后再準(zhǔn)備傳遞。把初始的待傳信號(hào)附加到高頻振蕩的操作就叫作調(diào)制,只有這樣,信號(hào)才能在載波的作用下,能量在傳輸過(guò)程中的損耗才能達(dá)到最小,使接收端收到有效信[2][3]。</p><p>  1.2 信號(hào)調(diào)制技術(shù)國(guó)內(nèi)外發(fā)展現(xiàn)狀</p><p>  如今,信號(hào)調(diào)制這個(gè)技術(shù)在國(guó)內(nèi)外的發(fā)展可是突飛猛進(jìn)。信號(hào)調(diào)制的方式在目前技術(shù)上只有存在兩種:它們分別是數(shù)字調(diào)制和模擬調(diào)制。其中,模擬調(diào)制系統(tǒng)

21、,不僅我國(guó),還有國(guó)外的其它國(guó)家都采用兩種調(diào)制方法:幅度調(diào)制(線性調(diào)制),角度調(diào)制(非線性調(diào)制)。而在幅度調(diào)制中采用了雙邊帶調(diào)制(DSB)、單邊帶調(diào)制(SSB)和殘留邊帶調(diào)制。另一個(gè)調(diào)制角度調(diào)制則采用了頻率調(diào)制(FM)。這么多種類(lèi)的調(diào)制方式,完全可以在各種領(lǐng)域中得到應(yīng)用了。不僅調(diào)制方式有多種,連信號(hào)調(diào)制通信系統(tǒng)的開(kāi)發(fā)技術(shù)也層出不窮。目前最流行的技術(shù)是一種基于DDS技術(shù)的通信信號(hào)調(diào)制。所謂DDS,就是直接數(shù)字頻率合成。這是由著名的J.Tie

22、rncy提出的。它是以數(shù)字信號(hào)處理為理論基礎(chǔ)的,從相位概念出發(fā)直接合成所需波形的一種信得全數(shù)字技術(shù)的頻率合成方法。隨著大規(guī)模集成電路的發(fā)展,DDS技術(shù)有了突飛猛進(jìn)的發(fā)展,慢慢的成為了最重要的頻率合成技術(shù)了[4][5]。</p><p>  正巧,利用FPGA可實(shí)現(xiàn)DDS技術(shù)的信號(hào)調(diào)制。而FPGA也是當(dāng)今應(yīng)用最廣泛的一種技術(shù),兩種應(yīng)用最廣泛的技術(shù)的絕配組合,使通信信號(hào)調(diào)制技術(shù)突飛猛進(jìn)[6] [7]。</p&g

23、t;<p>  1.3 信號(hào)調(diào)制技術(shù)的發(fā)展方向</p><p>  調(diào)制在電子通信學(xué)中是非常重要的。其發(fā)展方向是:1)由于數(shù)字領(lǐng)域的不斷發(fā)展,數(shù)字通信系統(tǒng)的容量需要不斷擴(kuò)充,這就要求發(fā)展超高速率的數(shù)字調(diào)制技術(shù);2)為了充分利用無(wú)線電頻譜資源,要求更深一步的研究頻譜效率高的和誤碼率低的調(diào)制方式;3)在相干光通信和光盤(pán)存儲(chǔ)設(shè)備方面,光相位調(diào)制、頻率調(diào)制和偏振調(diào)制等的研究也是非常重要的研究課題[8]。&l

24、t;/p><p>  1.4信號(hào)調(diào)制技術(shù)面臨的問(wèn)題</p><p>  隨著通信技術(shù)的進(jìn)步和調(diào)制方式的發(fā)展,自然在通信信號(hào)調(diào)制的模式中也不斷面臨挑戰(zhàn),開(kāi)發(fā)者嘗試著將各種新思維、新理念、新方法、新技術(shù)、新工具和新理論運(yùn)用其中,以達(dá)到能有更好的識(shí)別效果。當(dāng)然,也還有很多問(wèn)題或不足的方面仍需要進(jìn)一步的研究:</p><p>  (1)如何提高有限樣本特別是小樣本情況下不同調(diào)制

25、類(lèi)型信號(hào)的識(shí)別率;</p><p>  (2)如何提高大信噪比變化范圍內(nèi)特別是低信噪比情況下不同調(diào)制類(lèi)型信號(hào)的識(shí)別率;</p><p>  (3)基于現(xiàn)代信號(hào)處理方法尋找計(jì)算量更小、識(shí)別性能更好的新識(shí)別特征參數(shù);</p><p>  (4)目前對(duì)通信信號(hào)調(diào)制識(shí)別的研究是在同一接收信道中同時(shí)只存在一個(gè)信道的前提下進(jìn)行的;</p><p>  隨

26、著無(wú)線電通信技術(shù)的發(fā)展,同時(shí)出現(xiàn)兩個(gè)或多個(gè)通信信號(hào)的現(xiàn)象難以避免,如何實(shí)現(xiàn)對(duì)共信道的多個(gè)通信信號(hào)的調(diào)制模式實(shí)現(xiàn)自動(dòng)識(shí)別,值得進(jìn)一步研究;</p><p>  (5)調(diào)制識(shí)別方法在軟件無(wú)線電中的應(yīng)用,也是一個(gè)值得研究的方向。利用軟件無(wú)線電、自動(dòng)化控制技術(shù),結(jié)合硬件解調(diào)及數(shù)據(jù)采集,實(shí)現(xiàn)信號(hào)的自動(dòng)接收和解調(diào),具有重要的應(yīng)用前景[9]。</p><p>  1.5 課題研究的主要內(nèi)容</p&

27、gt;<p>  實(shí)現(xiàn)一個(gè)FSK/PSK信號(hào)調(diào)制通信系統(tǒng)。該系統(tǒng)由分頻器、數(shù)據(jù)選擇器、m序列發(fā)生器、正弦波產(chǎn)生器、彈跳消除電路等模塊組成。利用FPGA器件產(chǎn)生波形所需數(shù)據(jù),再通過(guò)正弦波產(chǎn)生器輸出波形。 要求完成: (1) 系統(tǒng)硬件電路設(shè)計(jì) </p><p>  根據(jù)設(shè)計(jì)的要求,對(duì)硬件進(jìn)行適當(dāng)?shù)脑O(shè)計(jì)。在設(shè)計(jì)過(guò)程中要遵守簡(jiǎn)單,花費(fèi)少等原則。(2) 系統(tǒng)軟件設(shè)計(jì) </p><p&

28、gt;  對(duì)分頻器、數(shù)據(jù)選擇器、m序列發(fā)生器、正弦波產(chǎn)生器、彈跳消除電路5個(gè)模塊分別采用VHDL語(yǔ)言描述,并成功將5個(gè)模塊程序整合起來(lái),實(shí)現(xiàn)系統(tǒng)的FSK/PSK兩個(gè)功能模塊。(3) 系統(tǒng)仿真與調(diào)試</p><p>  編程完成后,對(duì)程序進(jìn)行時(shí)序仿真,行為仿真,直到仿真正確。</p><p>  2 信號(hào)調(diào)制系統(tǒng)的概述</p><p>  2.1 信號(hào)調(diào)制的概念&l

29、t;/p><p>  調(diào)制就是將我們所要的信號(hào)進(jìn)行處理然后再加載到高頻載波上,使它成為有益于信號(hào)傳播的形式的一系列過(guò)程。其實(shí)就是使載波隨信號(hào)而改變的技術(shù)。一般情況,我們所需的有用信號(hào),即信號(hào)源,存在兩種分量,它們分別是直流分量和低頻頻率分量,它被稱(chēng)為基帶信號(hào)。基帶信號(hào)無(wú)法進(jìn)行長(zhǎng)遠(yuǎn)距離的傳輸,原因很簡(jiǎn)單,因?yàn)槟芰吭谕局袠O易損耗。所以必須要把低頻的基帶信號(hào)轉(zhuǎn)換成高頻的基帶信號(hào)來(lái)進(jìn)行傳播。而這已變成的高頻率基帶信號(hào)就叫做調(diào)

30、制信號(hào),這個(gè)信號(hào)稱(chēng)為已調(diào)信號(hào)。所以說(shuō),調(diào)制信號(hào)就是通過(guò)改變高頻載波的幅度,相位,頻率,使它隨著基帶信號(hào)的改變而改變來(lái)實(shí)現(xiàn)調(diào)制的。當(dāng)然,有調(diào)制,就肯定有解調(diào),沒(méi)有解調(diào)的信號(hào)是不能接收使用的。而解調(diào)就是將信號(hào)從載波中提取出來(lái),然后進(jìn)行一些處理是接收者使用。這里就對(duì)解調(diào)不做作詳細(xì)的介紹了[10]。</p><p>  2.2 信號(hào)調(diào)制的作用</p><p>  信號(hào)調(diào)制的作用不僅僅能減少信號(hào)在長(zhǎng)

31、遠(yuǎn)距離傳輸過(guò)程中所要消耗的能量,而且還有其它的作用。由于天線的尺寸一定要與信號(hào)的波長(zhǎng)相匹配,而低頻信號(hào)的波長(zhǎng)很長(zhǎng),無(wú)法制作相對(duì)長(zhǎng)度的天線尺寸,所以必須要對(duì)信號(hào)進(jìn)行調(diào)制處理,使信號(hào)轉(zhuǎn)變?yōu)楦哳l載波上而使波長(zhǎng)變短,有利于天線的制作[11]。</p><p>  還有一個(gè)作用就是,將低頻信號(hào)調(diào)制到高頻信號(hào)能實(shí)現(xiàn)頻分復(fù)用。</p><p>  2.3 信號(hào)調(diào)制的分類(lèi)</p><p

32、>  信號(hào)調(diào)制技術(shù)主要用來(lái)將數(shù)字或模擬信號(hào)轉(zhuǎn)換成特殊的模擬信號(hào)。常用的模擬信號(hào)的調(diào)制技術(shù)有三種:(1)改變載波的振幅稱(chēng)振幅調(diào)制(ASK)。(2)改變載波的頻率稱(chēng)頻率調(diào)制(FSK)。(3)改變載波的相位稱(chēng)相位調(diào)制(PSK)。 </p><p>  2.4 信號(hào)調(diào)制系統(tǒng)的基本結(jié)構(gòu)</p><p>  根據(jù)設(shè)計(jì)的要求,本設(shè)計(jì)只設(shè)計(jì)頻率調(diào)制(FSK)和相位調(diào)制(PSK)這兩種調(diào)制方式[

33、12]。</p><p>  2.4.1 FSK調(diào)制信號(hào)產(chǎn)生原理</p><p>  FSK正弦波調(diào)制信號(hào)發(fā)生氣的設(shè)計(jì)原理是通過(guò)兩個(gè)計(jì)數(shù)器對(duì)基準(zhǔn)時(shí)鐘信號(hào)進(jìn)行分頻,從而得到兩個(gè)不同頻率的時(shí)鐘信號(hào)。這兩個(gè)不同頻率的時(shí)鐘信號(hào)在選擇器的選擇下,作為正弦波產(chǎn)生器的輸入時(shí)鐘,從而在不同的頻率時(shí)鐘下產(chǎn)生兩種不同頻率的正弦波。而選擇器的的指令由M隨機(jī)序列輸出的循環(huán)序列決定。圖2-1為FSK調(diào)制信號(hào)產(chǎn)生原理

34、圖[13]。</p><p>  圖2-1 FSK調(diào)制信號(hào)產(chǎn)生原理圖</p><p>  FSK調(diào)制信號(hào)的效果圖如圖2-2,當(dāng)M序列產(chǎn)生器輸出為“1”和“0”時(shí),波形產(chǎn)生器輸出的波形頻率不一樣。</p><p>  圖2-2 FSK波形調(diào)制效果圖</p><p>  2.4.2 PSK調(diào)制原理</p><p>  在給

35、定的時(shí)鐘信號(hào)下,通過(guò)設(shè)計(jì)所需模值的分頻器,產(chǎn)生正弦波產(chǎn)生器的輸入時(shí)鐘信號(hào),在正弦波產(chǎn)生器中產(chǎn)生穩(wěn)定的正弦波。其中一路對(duì)正弦波相移180度,然后給選擇器,另一路則不需要任何處理直接給選擇器,供選擇器選擇不同的波形。而選擇器的控制信號(hào)由外部輸入信號(hào)“mode”給定的。這樣就能實(shí)現(xiàn)PSK調(diào)制[14][15]。圖2-3為PSK調(diào)制系統(tǒng)的框圖。</p><p>  圖2-3 PSK調(diào)制系統(tǒng)的框圖</p>&l

36、t;p>  有了以上的框圖,就知道了只要給定“mode”的值,波形就能調(diào)相。其具體的PSK調(diào)制系統(tǒng)的效果圖如圖2-4。當(dāng)“mode”跳變時(shí),波形的相位就改變180度,達(dá)到PSK效果。</p><p>  圖2-4 PSK信號(hào)波形圖</p><p>  3 信號(hào)調(diào)制通信系統(tǒng)硬件電路設(shè)計(jì)</p><p>  3.1 信號(hào)調(diào)制通信系統(tǒng)的組成</p>&

37、lt;p>  信號(hào)調(diào)制通信系統(tǒng)的總系統(tǒng)一共分為6個(gè)部分,它們分別是分頻器、M序列產(chǎn)生器、跳變檢測(cè)、選擇器、正弦波產(chǎn)生器和DAC(數(shù)/模轉(zhuǎn)換器)。其中原設(shè)計(jì)要求中有彈跳消除電路,在實(shí)際設(shè)計(jì)中,我已經(jīng)把這一部分給刪去了,但是增加了跳變檢測(cè)模塊,使設(shè)計(jì)更加簡(jiǎn)便[16][17]。系統(tǒng)框圖如圖3-1所示。</p><p>  圖3-1 信號(hào)調(diào)制通信系統(tǒng)FSK/PSK框圖</p><p>  3

38、.2 信號(hào)調(diào)制系統(tǒng)各模塊設(shè)計(jì)方法</p><p>  3.2.1 分頻器設(shè)計(jì)方法</p><p>  本設(shè)計(jì)只有一個(gè)輸入時(shí)鐘信號(hào),所以為了能得到不同頻率的信號(hào),必須采用分頻器來(lái)滿(mǎn)足這個(gè)要求。本設(shè)計(jì)沒(méi)有具體要求數(shù)據(jù)的速率,故我依照簡(jiǎn)便的原則,設(shè)計(jì)了數(shù)據(jù)速率為4.5kb/s,所以要求產(chǎn)生4.5khz和9khz兩個(gè)正弦波。由于要對(duì)正弦波的每個(gè)周期進(jìn)行100個(gè)采樣,所以本設(shè)計(jì)需要用到3個(gè)時(shí)鐘信號(hào),

39、它們分別是4.5khz的數(shù)據(jù)速率,450khz的產(chǎn)生4.5khz正弦波信號(hào)的輸入時(shí)鐘,900khz的產(chǎn)生9khz正弦波信號(hào)的輸入時(shí)鐘。</p><p>  有了以上目標(biāo),則可以根據(jù)18mhz基準(zhǔn)時(shí)鐘,通過(guò)分頻器產(chǎn)生這些頻率的信號(hào):設(shè)計(jì)一個(gè)模為20的分頻器以產(chǎn)生900khz信號(hào),在900khz的基礎(chǔ)上再設(shè)計(jì)一個(gè)模為2的分頻器以產(chǎn)生450khz信號(hào),在450khz的基礎(chǔ)上再設(shè)計(jì)一個(gè)模為100的分頻器以產(chǎn)生4.5khz

40、信號(hào)[18]。</p><p>  3.2.2 選擇器設(shè)計(jì)方法</p><p>  本設(shè)計(jì)采用2選1的數(shù)據(jù)選擇器,用來(lái)選擇兩種不同頻率的時(shí)鐘信號(hào)作為正弦波產(chǎn)生器的輸入,產(chǎn)生兩種不同頻率的正弦波。具體情況中,當(dāng)信碼為“1”時(shí),數(shù)據(jù)選擇器選擇900kHz的信號(hào),此時(shí)正弦波產(chǎn)生一個(gè)頻率為9kHz的正弦波。當(dāng)信碼為“0”時(shí),數(shù)據(jù)選擇器選擇450kHz的信號(hào),此時(shí)正弦波產(chǎn)生一個(gè)4.5kHz的正弦波。

41、</p><p>  3.2.3 M序列產(chǎn)生器設(shè)計(jì)方法</p><p>  M序列是偽隨機(jī)序列的其中一種,它在通信領(lǐng)域中得到廣泛的應(yīng)用。那為什么它能這么有用呢?原因在于它具有隨機(jī)特性,預(yù)先可確定性和循環(huán)特性[19]。</p><p>  本設(shè)計(jì)采用了一種帶有兩個(gè)反饋抽頭的3級(jí)反饋移位寄存器,其電路圖如圖3-2。</p><p>  圖3-2

42、 M序列產(chǎn)生器電路結(jié)構(gòu)</p><p>  根據(jù)此電路圖,可以方便的寫(xiě)出M序列產(chǎn)生器的真值表。假設(shè)初始狀態(tài)Q1=Q2=Q3=0。則真值表如表3-1。</p><p>  表3-1 M序列產(chǎn)生器真值</p><p>  根據(jù)真值表的數(shù)值,發(fā)現(xiàn)5到11為一個(gè)周期循環(huán)。故我選取Q3的值為信號(hào),選取第5到11的Q3值為信碼值,即“1110010”,以此控制選擇器選擇兩種不同

43、頻率的信號(hào)產(chǎn)生不同的頻率的正弦波。</p><p>  3.2.4 正弦波信號(hào)產(chǎn)生器設(shè)計(jì)方法</p><p>  根據(jù)奈奎斯特定理,如果要使原模擬信號(hào)不失真的恢復(fù)出來(lái),那其抽樣頻率必須大于模擬信號(hào)的頻率的2倍。本設(shè)計(jì)采用對(duì)正弦波的每個(gè)周期進(jìn)行100個(gè)采樣,即采樣的速率是原來(lái)正弦波頻率的100倍,這樣完全滿(mǎn)足奈奎斯特定理,所以完全可以在接收端將原來(lái)正弦波信號(hào)不失真地恢復(fù)出來(lái)從而可以在接收端對(duì)

44、FSK信號(hào)正確的解調(diào)出來(lái)[20]。</p><p>  為了能使輸出波形達(dá)到理想效果,決定每個(gè)采樣點(diǎn)采用8位量化編碼,即8位分辨率,即每個(gè)采樣點(diǎn)的值用8位的二進(jìn)制碼表示。</p><p>  本設(shè)計(jì)采用狀態(tài)機(jī)的方式來(lái)實(shí)現(xiàn)正弦波信號(hào)產(chǎn)生器。由上面分析得出,需要100個(gè)狀態(tài),記作S1-S100。其中對(duì)于正弦波的100個(gè)抽樣的取值的取法,由于正弦波波形的各點(diǎn)的斜率不同,其增長(zhǎng)的大小也不同。其大致

45、規(guī)律為靠近零點(diǎn)處的斜率大,靠近波峰和波谷處得斜率小。所以根據(jù)這個(gè)規(guī)律,可以粗略的100個(gè)抽樣取值。具體值如表3-2.</p><p>  表3-2 正弦波100個(gè)抽樣值</p><p>  3.2.5 跳變檢測(cè)設(shè)計(jì)方法</p><p>  跳變檢測(cè)的作用是檢測(cè)電平的跳變情況,有跳變則輸出一個(gè)信號(hào)。其在本設(shè)計(jì)中的用途是使正弦波形保證一個(gè)連續(xù)不突變的波形。具體分析如下:

46、當(dāng)控制調(diào)相的電平從“0”跳變到“1”時(shí),跳變檢測(cè)電路則檢測(cè)出跳變,同時(shí)開(kāi)始檢測(cè)M序列產(chǎn)生器的輸入時(shí)鐘的跳變,當(dāng)M序列產(chǎn)生器的輸入時(shí)鐘從“0”跳變到“1”時(shí),則改變正弦波相位,以此保證每次調(diào)相都在坐標(biāo)原點(diǎn),即sin0處,使正弦波保持一個(gè)連續(xù)不突變的波形。圖3-3為跳變檢測(cè)電路圖。</p><p>  圖3-3 跳變檢測(cè)電路圖</p><p>  我們對(duì)這個(gè)跳變檢測(cè)電路圖作簡(jiǎn)要的分析:基帶碼元

47、通過(guò)D觸發(fā)器后數(shù)值不變。所以在基帶碼元不變的情況下,異或門(mén)的兩個(gè)輸入值是一樣的,則跳變輸出為“0”。但是當(dāng)基帶碼元突然跳變(指跳變的以瞬間),異或門(mén)的上面的輸入信號(hào)也立刻跳變信號(hào),而下面的輸入信號(hào)由于要經(jīng)過(guò)D觸發(fā)器,所以有延時(shí),因此下面的輸入信號(hào)不能立刻跳變,它得保持一段時(shí)間。因此異或門(mén)的兩個(gè)輸入信號(hào)變的不一樣,導(dǎo)致異或門(mén)輸出變“1”。從而起到跳變檢測(cè)的作用。</p><p>  3.3 信號(hào)調(diào)制系統(tǒng)工作原理&l

48、t;/p><p>  本設(shè)計(jì)的工作原理是這樣的:本設(shè)計(jì)的基準(zhǔn)時(shí)鐘信號(hào)clock的頻率為18MHZ。然后clock經(jīng)過(guò)模為20的分頻器產(chǎn)生頻率為900KHZ的信號(hào)load_clk,load_clk再經(jīng)過(guò)模為2的分頻器產(chǎn)生頻率為450KHZ的信號(hào)load_clk1。load_clk和load_clk1作為正弦波產(chǎn)生器的輸入時(shí)鐘信號(hào)。load_clk1再經(jīng)過(guò)模為100的分頻器產(chǎn)生頻率為4.5KHZ的信號(hào)coderate,作

49、為m序列產(chǎn)生器的輸入時(shí)鐘信號(hào)。在M序列產(chǎn)生器中產(chǎn)生“1110010”序列,來(lái)控制選擇正弦波產(chǎn)生器的輸入時(shí)鐘信號(hào)。當(dāng)信碼為“1”時(shí),選擇器選擇load_clk作為正弦波產(chǎn)生器的輸入時(shí)鐘信號(hào),否則選擇load_clk1。這樣就可以自動(dòng)的根據(jù)“1110010”這個(gè)序列進(jìn)行調(diào)頻。至于調(diào)相,當(dāng)mode信號(hào)為“1”時(shí),通過(guò)跳變檢測(cè)來(lái)檢測(cè)coderate的上升沿跳變,一旦跳變,則正弦波倒相。當(dāng)mode為“0”時(shí),檢測(cè)到coderate的上升沿跳變,則

50、正弦波正相。正弦波倒相時(shí),其頻率一律采用load_clk的頻率。</p><p>  4 基于FPGA的信號(hào)調(diào)制通信系統(tǒng)軟件設(shè)計(jì)</p><p>  4.1 基于FPGA的信號(hào)調(diào)制概念</p><p>  本設(shè)計(jì)是基于FPGA技術(shù)的信號(hào)調(diào)制通信系統(tǒng),是采用VHDL語(yǔ)言對(duì)信號(hào)調(diào)制通信系統(tǒng)的分頻器,選擇器,M序列產(chǎn)生器,跳變檢測(cè),正弦波產(chǎn)生器分別進(jìn)行編程設(shè)計(jì),并通過(guò)IS

51、E6.0和modelsim6.1d進(jìn)行仿真、綜合、驗(yàn)證。</p><p>  4.2 主要設(shè)計(jì)實(shí)現(xiàn)目標(biāo)</p><p><b> ?。╝)分頻器的設(shè)計(jì)</b></p><p><b>  (b)選擇器的設(shè)計(jì)</b></p><p> ?。╟)M序列產(chǎn)生器的設(shè)計(jì)</p><p>

52、; ?。╠)正弦波產(chǎn)生器的設(shè)計(jì)</p><p> ?。╡)正弦波調(diào)頻模塊設(shè)計(jì)</p><p> ?。╢)正弦波調(diào)相模塊設(shè)計(jì)</p><p>  4.3 信號(hào)調(diào)制系統(tǒng)各模塊設(shè)計(jì)</p><p>  4.3.1 分頻器模塊設(shè)計(jì)</p><p>  本設(shè)計(jì)須設(shè)計(jì)3種模值的分頻器,它們分別是模20分頻器,模2分頻器和模100分

53、頻器。以此來(lái)得到實(shí)驗(yàn)所需的信號(hào)。</p><p>  模20分頻器的VHDL程序:</p><p>  library IEEE;</p><p>  use IEEE.STD_LOGIC_1164.ALL;</p><p>  use IEEE.STD_LOGIC_ARITH.ALL;</p><p>  use I

54、EEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  entity fenpin is</p><p>  port( clock :in std_logic;</p><p>  load_clk :out std_logic);</p><p>  end fenpin;</p><p&

55、gt;  architecture Behavioral of fenpin is</p><p>  signal count:std_logic_vector(3 downto 0):="0000";</p><p>  signal a :std_logic:='0';</p><p><b>  begin&

56、lt;/b></p><p>  process(clock)</p><p><b>  begin</b></p><p>  if(clock'event and clock='1')then</p><p>  if(count="1010")then</p

57、><p>  count<="0000";</p><p><b>  a<=not a;</b></p><p>  else </p><p>  count<=count+'1&#

58、39;;</p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  end process;</p><p>  load_clk<=a;</p><p>  end Behavioral;</p&

59、gt;<p>  模20分頻器仿真波形如圖4-1。</p><p>  圖4-1 模20分頻器仿真波形</p><p>  以下是模20分頻器模塊的電路圖。</p><p>  圖4-2 模20分頻器模塊電路圖</p><p>  同理能方便的設(shè)計(jì)出模分別為2,100的分頻器。具體細(xì)節(jié)同上述一樣,故不做詳細(xì)說(shuō)明。</p&g

60、t;<p>  4.3.2 M序列產(chǎn)生器模塊設(shè)計(jì)</p><p>  M序列的設(shè)計(jì)在本設(shè)計(jì)中起到非常重要的作用,它直接影響到波形調(diào)頻的效果。根據(jù)設(shè)計(jì)方案設(shè)計(jì)“1110010”循環(huán)序列,編寫(xiě)出相應(yīng)的VHDL程序:</p><p>  library IEEE;</p><p>  use IEEE.STD_LOGIC_1164.ALL;</p>

61、;<p>  use IEEE.STD_LOGIC_ARITH.ALL;</p><p>  use IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  entity m_sequence is</p><p>  port(coderate:in std_logic;</p><p>  code:

62、 out std_logic);</p><p>  end m_sequence;</p><p>  architecture Behavioral of m_sequence is</p><p>  signal m:std_logic_vector(2 downto 0):="000";</p><p>&l

63、t;b>  begin</b></p><p>  process(coderate) --兩個(gè)反饋抽頭</p><p><b>  begin</b></p><p>  if(coderate'event and coderate='1'

64、;)then</p><p>  m(0)<=m(1);</p><p>  m(1)<=m(2);</p><p><b>  end if;</b></p><p>  end process;</p><p>  process(coderate) --&q

65、uot;1110010"循環(huán)序列</p><p><b>  begin</b></p><p>  if(coderate'event and coderate='1')then </p><p>  m(2)<=(m(1) xor m(0)) or (not(m(0) or m(1) or m(2))

66、);</p><p><b>  end if;</b></p><p>  end process;</p><p>  code<=m(0);</p><p>  end Behavioral;</p><p>  本設(shè)計(jì)給m值賦初值為“000”,每當(dāng)coderate信號(hào)出現(xiàn)上升沿,m(

67、2)的值賦值給m(1),而同時(shí)m(1)的值也賦值給m(0)。這一過(guò)程體現(xiàn)在圖3-2的三個(gè)D觸發(fā)器。與此同時(shí),三個(gè)D觸發(fā)器的輸出經(jīng)過(guò)邏輯門(mén)構(gòu)成反饋系統(tǒng),m(2)<=(m(1) xor m(0)) or (not(m(0) or m(1) or m(2)))就是這個(gè)反饋系統(tǒng),它體現(xiàn)了圖3-2的除了D觸發(fā)器的三個(gè)邏輯門(mén)構(gòu)成的反饋電路。</p><p>  M序列產(chǎn)生器的仿真波形如圖4-3。</p>

68、<p>  圖4-3 M序列產(chǎn)生器仿真波形</p><p>  以下是M序列產(chǎn)生器模塊的電路圖。</p><p>  圖4-4 M序列產(chǎn)生器模塊電路圖</p><p>  4.3.3 正弦波產(chǎn)生器模塊設(shè)計(jì)</p><p>  正弦波信號(hào)產(chǎn)生器是用狀態(tài)機(jī)的方式來(lái)實(shí)現(xiàn)的,即將一個(gè)正弦波周期分成100個(gè)狀態(tài),每個(gè)狀態(tài)都給于一個(gè)值,這個(gè)值表

69、示正弦波在此點(diǎn)處的高度,即Y值。這樣,由100個(gè)狀態(tài)循序漸進(jìn)增減來(lái)組成比較光滑的正弦波形。其VHDL程序如下:</p><p>  library IEEE;</p><p>  use IEEE.STD_LOGIC_1164.ALL;</p><p>  use IEEE.STD_LOGIC_ARITH.ALL;</p><p>  use

70、 IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  -- Uncomment the following lines to use the declarations that are</p><p>  -- provided for instantiating Xilinx primitive components.</p><p>

71、;  --library UNISIM;</p><p>  --use UNISIM.VComponents.all;</p><p>  entity boxing is</p><p>  port(sinclk:in std_logic; --基準(zhǔn)時(shí)鐘</p><p>  data:out std_lo

72、gic_vector(7 downto 0)); --并行數(shù)據(jù)data</p><p>  end boxing;</p><p>  architecture Behavioral of boxing is</p><p>  signal value:std_logic_vector(7 downto 0);</p><p>  sig

73、nal count100:std_logic_vector(6 downto 0):="0000000";</p><p><b>  begin</b></p><p>  process(sinclk)</p><p><b>  begin</b></p><p>  if

74、(sinclk'event and sinclk='1')then </p><p>  if(count100="1100011")then --正弦波周期循環(huán)</p><p>  count100<="0000000";</p><p>  else if(cou

75、nt100<"1100011")then --mode=1時(shí),正弦波以load_clk的頻率(頻率不變)倒相(FSK)</p><p>  count100<=count100+'1';</p><p><b>  end if;</b></p><p><b>  end if;&

76、lt;/b></p><p><b>  end if;</b></p><p>  end process;</p><p>  process(count100) --狀態(tài)機(jī)方式,</p><p>  begin--產(chǎn)生正弦周期波形的一

77、個(gè)周期內(nèi)的100個(gè)采樣點(diǎn)</p><p>  case count100 is</p><p>  when"0000000"=></p><p>  value<="00000000"; --趨向正方向的0值</p><p>  when&quo

78、t;0000001"=></p><p>  value<="00001000";</p><p>  when"0000010"=></p><p>  value<="00010000";</p><p>  when"0000011&

79、quot;=></p><p>  value<="00011000";</p><p>  when"0000100"=></p><p>  value<="00100000";</p><p>  when"0000101"=>

80、</p><p>  value<="00100111";</p><p>  when"0000110"=></p><p>  value<="00101111";</p><p>  when"0000111"=></p>

81、<p>  value<="00110110";</p><p>  when"0001000"=></p><p>  value<="00111101";</p><p>  when"0001001"=></p><p>

82、;  value<="01000100";</p><p>  when"0001010"=></p><p>  value<="01001011";</p><p>  when"0001011"=></p><p>  value&l

83、t;="01010001";</p><p>  when"0001100"=></p><p>  value<="01010111";</p><p>  when"0001101"=></p><p>  value<="0

84、1011101";</p><p>  when"0001110"=></p><p>  value<="01100010";</p><p>  when"0001111"=></p><p>  value<="01100111&qu

85、ot;;</p><p>  when"0010000"=></p><p>  value<="01101100";</p><p>  when"0010001"=></p><p>  value<="01110000";</p

86、><p>  when"0010010"=></p><p>  value<="01110011";</p><p>  when"0010011"=> --第20個(gè)狀態(tài)</p><p>  value&l

87、t;="01110111";</p><p>  when"0010100"=></p><p>  value<="01111001";</p><p>  when"0010101"=></p><p>  value<="0

88、1111011";</p><p>  when"0010110"=></p><p>  value<="01111101";</p><p>  when"0010111"=></p><p>  value<="01111110&qu

89、ot;;</p><p>  when"0011000"=></p><p>  value<="01111111";</p><p>  when"0011001"=></p><p>  value<="01111111";

90、 --正弦波的波峰</p><p>  when"0011010"=></p><p>  value<="01111110";</p><p>  when"0011011"=></p><p>  value<=&quo

91、t;01111101";</p><p>  when"0011100"=></p><p>  value<="01111011";</p><p>  when"0011101"=></p><p>  value<="01111001

92、";</p><p>  when"0011110"=></p><p>  value<="01110111";</p><p>  when"0011111"=> </p><p>  val

93、ue<="01110011";</p><p>  when"0100000"=></p><p>  value<="01110000";</p><p>  when"0100001"=></p><p>  value<=&qu

94、ot;01101100";</p><p>  when"0100010"=></p><p>  value<="01100111";</p><p>  when"0100011"=></p><p>  value<="0110001

95、0";</p><p>  when"0100100"=></p><p>  value<="01011101";</p><p>  when"0100101"=></p><p>  value<="01010111";&l

96、t;/p><p>  when"0100110"=></p><p>  value<="01010001";</p><p>  when"0100111"=> --第40個(gè)狀態(tài)</p><p>  va

97、lue<="01001011";</p><p>  when"0101000"=></p><p>  value<="01000100";</p><p>  when"0101001"=></p><p>  value<=&q

98、uot;00111101";</p><p>  when"0101010"=></p><p>  value<="00110110";</p><p>  when"0101011"=></p><p>  value<="001011

99、11";</p><p>  when"0101100"=></p><p>  value<="00100111";</p><p>  when"0101101"=></p><p>  value<="00100000";&

100、lt;/p><p>  when"0101110"=></p><p>  value<="00011000";</p><p>  when"0101111"=></p><p>  value<="00010000";</p>

101、<p>  when"0110000"=></p><p>  value<="00001000";</p><p>  when"0110001"=></p><p>  value<="00000000";</p><

102、;p>  when"0110010"=></p><p>  value<="11110111"; --趨向于負(fù)的0值</p><p>  when"0110011"=></p><p>  value<="111011

103、11";</p><p>  when"0110100"=></p><p>  value<="11100111";</p><p>  when"0110101"=></p><p>  value<="11011111";&

104、lt;/p><p>  when"0110110"=></p><p>  value<="11011000";</p><p>  when"0110111"=></p><p>  value<="11010000";</p>

105、<p>  when"0111000"=></p><p>  value<="11001001";</p><p>  when"0111001"=></p><p>  value<="11000010";</p><p>

106、  when"0111010"=></p><p>  value<="10111011";</p><p>  when"0111011"=> --第60個(gè)狀態(tài)</p><p>  value<="101101

107、00";</p><p>  when"0111100"=></p><p>  value<="10101110";</p><p>  when"0111101"=></p><p>  value<="10101000";&

108、lt;/p><p>  when"0111110"=></p><p>  value<="10100010";</p><p>  when"0111111"=></p><p>  value<="10011101";</p>

109、<p>  when"1000000"=></p><p>  value<="10011000";</p><p>  when"1000001"=></p><p>  value<="10010011";</p><p>

110、  when"1000010"=></p><p>  value<="10001111";</p><p>  when"1000011"=></p><p>  value<="10001100";</p><p>  when&quo

111、t;1000100"=></p><p>  value<="10001000";</p><p>  when"1000101"=></p><p>  value<="10000110";</p><p>  when"1000110&

112、quot;=></p><p>  value<="10000100";</p><p>  when"1000111"=></p><p>  value<="10000010";</p><p>  when"1001000"=>

113、</p><p>  value<="10000001";</p><p>  when"1001001"=></p><p>  value<="10000000";</p><p>  when"1001010"=></p>

114、<p>  value<="10000000"; </p><p>  when"1001011"=></p><p>  value<="10000000"; --正弦波波谷</p><p>  when&qu

115、ot;1001100"=></p><p>  value<="10000001";</p><p>  when"1001101"=></p><p>  value<="10000010";</p><p>  when"1001110

116、"=></p><p>  value<="10000100";</p><p>  when"1001111"=> --第80個(gè)狀態(tài) </p><p>  value<="10000110";</p>&

117、lt;p>  when"1010000"=></p><p>  value<="10001000";</p><p>  when"1010001"=></p><p>  value<="10001100";</p><p>  

118、when"1010010"=></p><p>  value<="10001111";</p><p>  when"1010011"=></p><p>  value<="10010011";</p><p>  when"

119、1010100"=></p><p>  value<="10011000";</p><p>  when"1010101"=></p><p>  value<="10011101";</p><p>  when"1010110&qu

120、ot;=></p><p>  value<="10100010";</p><p>  when"1010111"=></p><p>  value<="10101000";</p><p>  when"1011000"=>&l

121、t;/p><p>  value<="10101110";</p><p>  when"1011001"=></p><p>  value<="10110100";</p><p>  when"1011010"=></p>

122、<p>  value<="10111011";</p><p>  when"1011011"=></p><p>  value<="11000010";</p><p>  when"1011100"=></p><p> 

123、 value<="11001001";</p><p>  when"1011101"=></p><p>  value<="11010000";</p><p>  when"1011110"=></p><p>  value<

124、="11011000";</p><p>  when"1011111"=></p><p>  value<="11011111";</p><p>  when"1100000"=></p><p>  value<="111

125、00111";</p><p>  when"1100001"=></p><p>  value<="11101111";</p><p>  when"1100010"=></p><p>  value<="11110111"

126、;;</p><p>  when"1100011"=> --第100個(gè)狀態(tài)</p><p>  value<="11111111"; --趨向于正的0值</p><p><b>  when</b>&l

127、t;/p><p>  others=>null;</p><p><b>  end case;</b></p><p>  end process;</p><p>  data<=value;</p><p>  end Behavioral;</p><p>

128、  case語(yǔ)句是正弦波形的100個(gè)狀態(tài)的選擇,由前面的count100來(lái)選擇100個(gè)狀態(tài)。當(dāng)count100自增到“1100011(99)”時(shí),自動(dòng)跳到“0000000”。</p><p>  正弦波產(chǎn)生器的仿真波形如圖4-5所示。</p><p>  圖4-5 正弦波產(chǎn)生器仿真波形</p><p>  以下是正弦波產(chǎn)生器模塊的電路圖。</p>&l

129、t;p>  圖4-6 正弦波產(chǎn)生器模塊電路圖</p><p>  4.4 信號(hào)調(diào)制系統(tǒng)各功能設(shè)計(jì)</p><p>  4.4.1 正弦波調(diào)頻功能設(shè)計(jì)</p><p>  本設(shè)計(jì)的第一個(gè)功能是能調(diào)頻,即FSK。因此設(shè)計(jì)了根據(jù)M序列輸出的“1110010”循環(huán)序列來(lái)控制頻率的改變。其具體的VHDL語(yǔ)言編程如下:</p><p>  libr

130、ary IEEE;</p><p>  use IEEE.STD_LOGIC_1164.ALL;</p><p>  use IEEE.STD_LOGIC_ARITH.ALL;</p><p>  use IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  entity fpdpsk is</p>&l

131、t;p>  port(clock:in std_logic; --基準(zhǔn)時(shí)鐘</p><p>  mode:in std_logic;</p><p>  xinma: out std_logic;</p><p>  data:out std_logic_vector(7 downto 0)); --并行數(shù)據(jù)dat

132、a</p><p>  end fpdpsk;</p><p>  architecture fpdpsk_arch of fpdpsk is</p><p>  signal count100:std_logic_vector(6 downto 0):="0000000"; --100計(jì)數(shù),記錄100個(gè)狀態(tài)</p><p&

133、gt;  signal count50:std_logic_vector(5 downto 0):="000000"; --50計(jì)數(shù),為了得到load_clk1的100分頻的碼元速率</p><p>  signal code:std_logic; --輸出M序列</p><p>  signal serial_clk:std_log

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論