2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩26頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、11、信息論的創(chuàng)始人是(D)A、布爾B、圖靈C、馮.諾依曼D、香農(nóng)2、盡管計(jì)算科學(xué)已成為一個(gè)應(yīng)用廣泛的學(xué)科,但其根本問(wèn)題仍然是能行問(wèn)題,能行問(wèn)題是指(C)A、什么問(wèn)題都能夠被順序地處理B、什么問(wèn)題都能夠被并行地處理C、什么問(wèn)題都能夠被有效自動(dòng)地處理D、什么問(wèn)題都能夠被智能化地處理3、下列(B)不是信息技術(shù)的核心技術(shù)A、計(jì)算機(jī)技術(shù)B、操作技術(shù)C、微電子技術(shù)D、現(xiàn)代通信技術(shù)4、信息技術(shù)是對(duì)人類器官的某種擴(kuò)展,從這個(gè)意義上來(lái)講,傳感技術(shù)可以認(rèn)

2、為是對(duì)人體(D)的擴(kuò)展A、思維器官B、神經(jīng)器官C、效應(yīng)器官D、感覺(jué)器官5、假定某臺(tái)電腦通過(guò)了圖靈測(cè)驗(yàn),則(D)A、表明電腦最終能取代人腦B、圖靈測(cè)驗(yàn)是判斷智能的唯一標(biāo)準(zhǔn)C、能夠確定這臺(tái)計(jì)算機(jī)具備真正的智能D、并不能確定這臺(tái)計(jì)算機(jī)具備真正的智能6、下列(D)不屬于信息科學(xué)研究?jī)?nèi)容A、通信理論B、智能理論C、控制理論D、結(jié)構(gòu)理論7、以下敘述錯(cuò)誤的是(C)A、數(shù)學(xué)中的連續(xù)型的問(wèn)題離散化后才能被計(jì)算機(jī)處理B、信息技術(shù)的核心技術(shù)是計(jì)算機(jī)、微電子和

3、現(xiàn)代通信技術(shù)C、圖靈機(jī)的工作模式為:存儲(chǔ)程序、順序程序D、香農(nóng)認(rèn)為信息就是不缺點(diǎn)性的消除量8、以下(A)均屬于計(jì)算機(jī)科學(xué)與技術(shù)學(xué)科的應(yīng)用層內(nèi)容A、移動(dòng)計(jì)算與全球定位、機(jī)器人、計(jì)算機(jī)自動(dòng)控制、輔助制造B、軟件工程技術(shù)、計(jì)算機(jī)集成制造、計(jì)算網(wǎng)絡(luò)C、程序設(shè)計(jì)方法學(xué)、計(jì)算機(jī)自動(dòng)控制、輔助制造D、軟件開(kāi)發(fā)工具與環(huán)境、數(shù)字系統(tǒng)設(shè)計(jì)、算法理論9、下列關(guān)于計(jì)算機(jī)發(fā)展史的敘述中,錯(cuò)誤的是(C)A、世界上第一臺(tái)計(jì)算機(jī)是在美國(guó)發(fā)明的ENIACB、ENIAC不

4、是存儲(chǔ)程序控制的計(jì)算機(jī)C、ENIAC是1946年發(fā)明的,所以世界從1946年起就開(kāi)始了計(jì)算機(jī)時(shí)代D、世界上第一臺(tái)投入運(yùn)行的具有存儲(chǔ)程序控制的計(jì)算機(jī)是英國(guó)人設(shè)計(jì)并制造的EDSAC10、第二代計(jì)算機(jī)的邏輯元件采用(B)A、電子管B、晶體管C、中、小規(guī)模集成電路D、大規(guī)?;虺笠?guī)模集成電路11、下列有關(guān)計(jì)算機(jī)的性能指標(biāo)中,影響計(jì)算機(jī)運(yùn)行速度的指標(biāo)有(A)A、主頻字長(zhǎng)、字長(zhǎng)、存取周期、內(nèi)存容量B、主頻字長(zhǎng)、字長(zhǎng)、內(nèi)存容量、兼容性C、主頻字長(zhǎng)、字

5、長(zhǎng)、內(nèi)存容量、外存容量D、主頻字長(zhǎng)、內(nèi)存容量、兼容性、平均無(wú)故障工作時(shí)間12、以下關(guān)于“電子計(jì)算機(jī)特點(diǎn)”論述,錯(cuò)誤的是(D)A、運(yùn)算速度快B、運(yùn)算精度高C、有記憶和邏輯判斷能力D、運(yùn)行過(guò)程需人工干預(yù)13、計(jì)算機(jī)系統(tǒng)包括(C)A、主機(jī)和外部設(shè)備B、硬件系統(tǒng)和系統(tǒng)軟件C、硬件系統(tǒng)和軟件系統(tǒng)D、系統(tǒng)軟件和應(yīng)用軟件14、未來(lái)計(jì)算機(jī)的發(fā)展趨勢(shì)是(A)A、巨型化、微型化、網(wǎng)絡(luò)化、型化智能化、多媒體化B、巨型化、大型化、中型化、小型化、微型化C、巨型

6、化、微型化、網(wǎng)絡(luò)化、自動(dòng)化、多功能化D、巨型化、微型化、網(wǎng)絡(luò)化、智能化、自動(dòng)化3信息D、存放基本輸入輸出系統(tǒng)程序、引導(dǎo)程序和自檢程序30、以下敘述中,錯(cuò)誤的是(D)A、程序要執(zhí)行的指令必須預(yù)先存放在主存儲(chǔ)器內(nèi)B、顯示器適配器是系統(tǒng)總線與顯示器之間的接口C、計(jì)算機(jī)運(yùn)算速度的單位一般采用MBPS,其含義是:百萬(wàn)條指令秒D、微處理器具有運(yùn)算和控制功能,但不具備存儲(chǔ)功能31、存儲(chǔ)128個(gè)24X24點(diǎn)陣漢字字形所需的存儲(chǔ)容量是(D)A、16KBB

7、、512KBC、8KBD、9KB32、某存儲(chǔ)器芯片共有32根地址線,則該存儲(chǔ)器芯片的存儲(chǔ)容量為(C)A、8GBB、2GBC、4GBD、4MB33、以下計(jì)算機(jī)能直接執(zhí)行的程序是(B)A、源程序B、機(jī)器語(yǔ)言程序C、高級(jí)語(yǔ)言程序D、匯編語(yǔ)言程序34、以下(C)是計(jì)算機(jī)程序設(shè)計(jì)語(yǔ)言所經(jīng)歷的主要階段A、機(jī)器語(yǔ)言、BASIC語(yǔ)言和C語(yǔ)言B、機(jī)器語(yǔ)言、匯編語(yǔ)言和、C語(yǔ)言C、機(jī)器語(yǔ)言、匯編語(yǔ)言和高級(jí)語(yǔ)言D、二進(jìn)制代碼語(yǔ)言、機(jī)器語(yǔ)言和FTRAN語(yǔ)言35、

8、下列軟件中屬于系統(tǒng)軟件的是(C)A、人事管理軟件B、工資管理軟件C、C語(yǔ)言編譯程序D、WdXP36、數(shù)碼相機(jī)是通過(guò)(C)接口與計(jì)算機(jī)連接的A、串行B、并行C、USBD、SCSI37、(D)稱為完整的計(jì)算機(jī)軟件A、供大家使用的程序B、各種可用的程序C、CPU能夠執(zhí)行的所有指令D、程序、數(shù)據(jù)、連同有關(guān)的文檔38、下列敘述中,錯(cuò)誤的是(A)A、數(shù)據(jù)類型是對(duì)各種數(shù)據(jù)對(duì)象一組操作的總稱B、在線性結(jié)構(gòu)中,數(shù)據(jù)元素之間存在著一對(duì)一的次序關(guān)系C、非線性

9、結(jié)構(gòu)的樹(shù)型結(jié)構(gòu)中元素之間存在著一對(duì)多的層次關(guān)系D、非線性結(jié)構(gòu)的圖結(jié)構(gòu)中的元素之間的關(guān)系是多對(duì)多的網(wǎng)狀關(guān)系39、下列敘述中,錯(cuò)誤的是(B)A、數(shù)據(jù)是能被計(jì)算機(jī)加工和處理的符號(hào)總稱B、程序中的數(shù)據(jù)運(yùn)算是在數(shù)據(jù)的邏輯結(jié)構(gòu)上實(shí)現(xiàn)C、數(shù)據(jù)的餓邏輯結(jié)構(gòu)只是數(shù)據(jù)元素之間的邏輯關(guān)系,而不管其存儲(chǔ)方式D、數(shù)據(jù)結(jié)構(gòu)是指計(jì)算機(jī)程序中所操作數(shù)據(jù)以及數(shù)據(jù)之間的相互關(guān)系和運(yùn)算40、下列敘述中,錯(cuò)誤的是(B)A、應(yīng)用軟件是為特定應(yīng)用領(lǐng)域開(kāi)發(fā)的,它們處于整個(gè)計(jì)算機(jī)系統(tǒng)

10、的最外層B、系統(tǒng)軟件與具體的應(yīng)用領(lǐng)域無(wú)關(guān),它們處于支撐軟件和應(yīng)用軟件的中間層C、軟件工程是應(yīng)用計(jì)算機(jī)科學(xué)、數(shù)學(xué)和管理學(xué)科等科學(xué)的原理,以工程化的方法制作軟件D、用編譯型高級(jí)語(yǔ)言編寫(xiě)的程序需經(jīng)編譯程序翻譯成目標(biāo)程序,在鏈接成可執(zhí)行程序41、我國(guó)首次把計(jì)算機(jī)軟件作為知識(shí)產(chǎn)權(quán)列入國(guó)家法律保護(hù)的法規(guī)是(A)A、《中華人民共和國(guó)著作權(quán)法》B、《計(jì)算機(jī)軟件保護(hù)條例》C、《中華人民共和國(guó)著作權(quán)實(shí)施條例》D、《計(jì)算機(jī)軟件產(chǎn)品管理辦法》42、防病毒程序可

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論