2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩3頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、RT1602CMECHANICALDATAItemNominalDimensionsPINCONNECTIONSUnitPINSymbolLevelFunctionModuleSize(WHT)ViewingArea(WH)acterSize(WH)DotSize(WH)80.036.012.564.514.03.005.020.520.54???mm1GNDmm2VDDmm3V0mm4RSHL5RWHL地(0V)電源(5V)LCD電源

2、1:數(shù)據(jù)0:指令1:讀0:寫ABSOLUTEMAXIMUMRATINGS6EHL使能端ItemOperatingVoltageOperatingCurrentLEDVoltageLEDCurrentOperatingTemp.StageTemp.SymbolMinTypeMaxUnit7DB0HLVDD4.55.05.5V8DB1HLIDD1.11.31.6mA9DB2HLVLED4.55.05.5V10DB3HLILED7514020

3、0mA11DB4HLTopr2070℃12DB5HLTsto3080℃13DB6HL14DB7HL(數(shù)據(jù)IO)數(shù)據(jù)線(數(shù)據(jù)IO)ELECTRICALACTERISTICS15BL1背光正電源(5V)ItemInputHightVoltageInputLowVoltageOutputHightVoltageOutputLowVoltageSymbolMinTypeMaxUnit16BL2VIH2.2VDDVVIL00.6VVOH2.4VD

4、DVVOL00.4V背光負(fù)電源(0V)BLOCKDIAGRAMVSSVDDV016COMLCDPANEL16actersx2LinesPOWERSUPPLYVDDRSRWEDB0DB7BL1BL2LCDControllerLSILEDBacklight40SEG40SEGV0VSSSegmentdriverBL1BL210~20K指令6:功能設(shè)置命令DL:高電平時(shí)為4位總線,低電平時(shí)為8位總線N:低電平時(shí)為單行顯示,高電平時(shí)雙行顯示F:

5、低電平時(shí)顯示5x7的點(diǎn)陣字符,高電平時(shí)顯示5x10的點(diǎn)陣字符指令7:字符發(fā)生器RAM地址設(shè)置指令8:DDRAM地址設(shè)置指令9:讀忙信號和光標(biāo)地址BF:為忙標(biāo)志位,高電平表示忙,此時(shí)模塊不能接收命令或者數(shù)據(jù),如果為低電平表示不忙。指令10:寫數(shù)據(jù)指令11:讀數(shù)據(jù)RT1602的內(nèi)部顯示地址:RT1602液晶顯示模塊和單片機(jī)AT89C51交互液晶顯示模塊是一個(gè)慢顯示器件,所以在執(zhí)行每條指令之前一定要確認(rèn)模塊的忙標(biāo)志為低電平,表示不忙,否則此指

6、令失效。要顯示字符時(shí)要先輸入顯示字符地址,也就是告訴模塊在哪里顯示字符,表3是RT1602的內(nèi)部顯示地址.比如第二行第一個(gè)字符的地址是40H,那么是否直接寫入40H就可以將光標(biāo)定位在第二行第一個(gè)字符的位置呢?這樣不行,因?yàn)閷懭腼@示地址時(shí)要求最高位D7恒定為高電平1所以實(shí)際寫入的數(shù)據(jù)應(yīng)該是01000000B(40H)10000000B(80H)=11000000B(C0H)以下是在液晶模塊的第二行第一個(gè)字符的位置顯示字母“A”的程序:G0

7、000HRSEQUP3.7確定具體硬件的連接方式RWEQUP3.6確定具體硬件的連接方式EEQUP3.5確定具體硬件的連接方式MOVP1#00000001B;清屏并光標(biāo)復(fù)位ACALLENABLE調(diào)用寫入命令子程序MOVP1#00111000B;設(shè)置顯示模式:8位2行5x7點(diǎn)陣ACALLENABLE調(diào)用寫入命令子程序MOVP1#00001111B;顯示器開、光標(biāo)開、光標(biāo)允許閃爍ACALLENABLE調(diào)用寫入命令子程序MOVP1#00000

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論