版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)
文檔簡介
1、<p><b> 摘 要</b></p><p> 自動售貨是被稱為24小時營業(yè)的微型自助超市,它的售貨領(lǐng)域非常廣泛,是發(fā)達(dá)國家商品零售的一種主要方式。本設(shè)計要實現(xiàn)自動售貨機的基本功能,如投幣處理、商品選擇、購貨撤銷、異常退幣、計算存貨等功能。采用VHDL硬件描述語言編程的設(shè)計方法設(shè)計系統(tǒng)核心電路的硬件程序,與一般的軟件開發(fā)不同,VHDL編程更需要熟悉一些底層的硬件知識,特別
2、是數(shù)字邏輯電路的設(shè)計,因此VHDL編程的方法、思路都要更多的與硬件電路聯(lián)系起來。完成系統(tǒng)各個功能模塊的硬件程序設(shè)計后,在軟件平臺上進行編譯和電路仿真,最后生成的目標(biāo)文件下載到實驗臺內(nèi)的FPGA芯片以實現(xiàn)該系統(tǒng),并在實驗臺上對設(shè)計進行驗證。</p><p> 關(guān)鍵字:自動售貨機;EDA;原理;電路仿真</p><p><b> Abstract</b></p&
3、gt;<p> The coin is called open 24 hours in the cafeteria, it is very widespread area of sale in retail in the developed countries, is a major way. this is designed to enable automatic machines in the basic funct
4、ionality, such as a coin, choose and purchases of goods, money is retired, stock and functions. Complete system of the various functional modules of hardware, software design process in the compilation and circuit emulat
5、ion, the target file download to the test stand in the chip to implement the</p><p> Keywords: Vending machine;EDA;Principle;Circuit simulation</p><p><b> 目 錄</b></p><p
6、> 摘 要- 1 -</p><p><b> 引言- 4 -</b></p><p> EDA技術(shù)簡介- 5 -</p><p> 1.1 EDA技術(shù)的發(fā)展- 5 -</p><p> 1.2 EDA技術(shù)的基本特征- 5 -</p><p> 1.2.1“自頂向下”
7、的設(shè)計方法- 6 -</p><p> 1.2.2高層次設(shè)計- 6 -</p><p> 自動售貨機設(shè)計- 7 -</p><p> 2.1設(shè)計要求與工作分配- 7 -</p><p> 2.2系統(tǒng)概述- 7 -</p><p> 2.3設(shè)計流程圖- 8 -</p><p>
8、; 2.5工作原理- 9 -</p><p> 設(shè)計方案- 10 -</p><p> 3.1設(shè)計方案流程- 10 -</p><p> 3.2系統(tǒng)方框圖- 10 -</p><p> 3.3電路原理圖- 11 -</p><p> 3.4時序仿真- 11 -</p><p&
9、gt; 參考文獻- 13 -</p><p><b> 附錄- 14 -</b></p><p> 1.程序源代碼- 14 -</p><p> 2,管腳分配圖- 18 -</p><p><b> 總結(jié)- 19 -</b></p><p><b&g
10、t; 引言</b></p><p> 自動售貨機能夠根據(jù)投入錢幣自動收貨的機器,是商業(yè)自動化的常用設(shè)備。不受時間、地點的限制,能節(jié)省人力物力?,F(xiàn)代售貨機的功能、結(jié)構(gòu)根據(jù)商品的種類而異。貨幣裝置時售貨機的核心,其主要功能是確認(rèn)投入幣數(shù)及面值,計算余額,找兌余額。因此,自動售貨機在商業(yè),食品業(yè)越來越普及,更方便消費者購買自己所需的商品。使用方便、可靠、節(jié)省人力的自動售貨機將在社會生活中成為一種趨勢。&
11、lt;/p><p><b> EDA技術(shù)簡介</b></p><p> 現(xiàn)代電子技術(shù)的核心已日趨轉(zhuǎn)向計算機的電子自動化技術(shù),即EDA(Electronic Design Automation)技術(shù)。EDA技術(shù)依賴功能強大的計算機,EDA技術(shù)是以計算機和微電子技術(shù)為先導(dǎo)的,匯集了計算機圖形學(xué)、拓?fù)鋵W(xué)、邏輯學(xué)、微電子工藝與結(jié)構(gòu)學(xué)和計算數(shù)學(xué)等多種計算機應(yīng)用學(xué)科最新成果的先進
12、技術(shù)。EDA技術(shù)以計算機為工具,代替人完成數(shù)字系統(tǒng)的邏輯綜合、布局布線和設(shè)計仿真等工作。設(shè)計人員只需要完成對系統(tǒng)功能的描述,就可以由計算機軟件進行處理,得到設(shè)計結(jié)果,而且修改設(shè)計如同修改軟件一樣方便,可以極大地提高設(shè)計效率。</p><p> 正因為EDA技術(shù)豐富的內(nèi)容以及電子技術(shù)各學(xué)科領(lǐng)域的相關(guān)性,其發(fā)展的歷程同大規(guī)模電路設(shè)計技術(shù)、計算機輔助工程、可編程邏輯器件、以及電子設(shè)計技術(shù)和工藝的發(fā)展是同步的。<
13、/p><p> 1.1 EDA技術(shù)的發(fā)展</p><p> EDA技術(shù)發(fā)展大致分為三個階段:</p><p> 從20世紀(jì)60年代中期開始,人們就不斷開發(fā)出各種計算機輔助設(shè)計工具來幫助設(shè)計人員進行電子系統(tǒng)的設(shè)計。電路理論和半導(dǎo)體工藝水平的提高,對EDA技術(shù)的發(fā)展起到了巨大的作用,使EDA作用范圍從PCB板設(shè)計延伸到電子線路和集成電路設(shè)計,直至整個系統(tǒng)的設(shè)計,也使I
14、C芯片系統(tǒng)應(yīng)用、電路制作和整個電子生產(chǎn)過程都集成在一個環(huán)境之中。根據(jù)電子設(shè)計技術(shù)的發(fā)展特征,</p><p> 20世紀(jì)80年代,集成電路設(shè)計進入了CMOS(互補場效應(yīng)管)時代。80年代末出現(xiàn)了FPGA,特別是各種硬件描述語言的出現(xiàn)、應(yīng)用和標(biāo)準(zhǔn)化方面的重大進步,為電子設(shè)計自動化必須解決的電路建模、標(biāo)準(zhǔn)文檔及仿真測試奠定了基礎(chǔ)。</p><p> 進入20世紀(jì)90年代,隨著硬件描述語言的
15、標(biāo)準(zhǔn)化進一步確立,計算機輔助工程、輔助分析和輔助設(shè)計在電子技術(shù)領(lǐng)域獲得更加廣泛的應(yīng)用。特別是集成電路設(shè)計工藝步入了超深丫微米階段,以及計算機技術(shù)的面向用戶的成本大規(guī)模ASIC設(shè)計技術(shù)的應(yīng)用促進了EDA技術(shù)的應(yīng)用。</p><p> 1.2 EDA技術(shù)的基本特征</p><p> EDA技術(shù)代表了當(dāng)今電子設(shè)計技術(shù)的最新發(fā)展方向,它的基本特征是:設(shè)計人員按照“自頂向下”的設(shè)計方法,對整個系
16、統(tǒng)進行方案設(shè)計和功能劃分,系統(tǒng)的關(guān)鍵電路用一片或幾片專用集成電路(ASIC)實現(xiàn),然后采用硬件描述語言(HDL)完成系統(tǒng)行為級設(shè)計,最后通過綜合器和適配器生成最終的目標(biāo)器件[3]。這樣的設(shè)計方法被稱為高層次的電子設(shè)計方法。下面介紹與EDA基本特征有關(guān)的幾個概念。</p><p> 1.2.1“自頂向下”的設(shè)計方法</p><p> 10年前,電子設(shè)計的基本思路還是選擇標(biāo)準(zhǔn)集成電路“自底
17、向上”(Bottom-Up)地構(gòu) 造出一個新的系統(tǒng),這樣的設(shè)計方法就如同一磚一瓦地建造金字塔,不僅效率低、成本高而且還容易出錯。</p><p> 1.2.2高層次設(shè)計</p><p> 高層次設(shè)計提供了一種“自頂向下”(Top-Down)的全新的設(shè)計方法,這種設(shè)計方法首先從系統(tǒng)設(shè)計入手,在頂層進行功能方框圖的劃分和結(jié)構(gòu)設(shè)計。在方框圖一級進行仿真、糾錯,并用硬件描述語言對高層次的系統(tǒng)行
18、為進行描述,在系統(tǒng)一級進行驗證。然后用綜合優(yōu)化工具生成具體門電路的網(wǎng)表,其對應(yīng)的物理實現(xiàn)級可以是印刷電路板或?qū)S眉呻娐?。由于設(shè)計的主要仿真和調(diào)試過程是在高層次上完成的,這不僅有利于早期發(fā)現(xiàn)結(jié)構(gòu)設(shè)計上的錯誤,避免設(shè)計工作的浪費,而且也減少了邏輯功能仿真的工作量,提高了設(shè)計的一次成功率。</p><p><b> 自動售貨機設(shè)計</b></p><p> 2.1設(shè)計
19、要求與工作分配</p><p> (1)設(shè)計一臺自動售貨機,要求能完成對貨物信息的存取,硬幣處理,找零和顯示功能。(2)預(yù)先設(shè)計該自動售貨機可自動出售4種不同的商品、基本貨幣單位為5角。(3)售貨員可通過按鍵將每種商品的數(shù)量和單價置入到存貨機內(nèi)存中。(4)顧客可通過按鍵對所需購買商品進行選擇,選定后在通過向贏得按鍵進行購買,并取回找?guī)?,同時結(jié)束本次交易。(5)若顧客投的錢數(shù)大于或等于所購買商品單價,則自動售貨機
20、會給出所買的商品;若投的錢數(shù)不夠,自動售貨機不作響應(yīng)繼續(xù)等待顧客的下次操作。顧客的下次操作可以繼續(xù)投幣,直到錢數(shù)到達(dá)所購商品的單價,從而完成購買;也可以直接按相應(yīng)按鍵退幣,退出交易。</p><p> (2)同組人員:田四營,祁耀華,薛超</p><p> 任務(wù)分配:田四營主要負(fù)責(zé)編程及程序調(diào)試,祁耀華主要負(fù)責(zé)資料的查詢與整合,薛超主要負(fù)責(zé)論文的編寫與整理。</p>&l
21、t;p><b> 2.2系統(tǒng)概述 </b></p><p> 人類社會已進入到高度發(fā)達(dá)的信息化社會,信息社會的發(fā)展離不開電子產(chǎn)品的進步?,F(xiàn)代電子產(chǎn)品在性能提高、復(fù)雜度增大的同時,價格卻一直呈下降趨勢,而且產(chǎn)品更新?lián)Q代的步伐也越來越快,實現(xiàn)這種進步的主要原因就是生產(chǎn)制造技術(shù)和電子設(shè)計技術(shù)的發(fā)展。</p><p> 自動售貨是20世紀(jì)70年代在日本、歐美發(fā)展起
22、來的一種全新的消費方式,自動售貨機又被稱為24小時營業(yè)的微型自助超市,它的售貨領(lǐng)域非常廣泛,是發(fā)達(dá)國家商品零售的一種主要方式。自動售貨機作為一種先進的消費方式,已經(jīng)成為城市現(xiàn)代文明發(fā)達(dá)程度的重要標(biāo)志,其新穎、時尚的購物方式,24小時營業(yè)的特點給人們生活帶來了便利,美化了城市環(huán)境,受到消費者的歡迎。但是在我國自動售貨機的普及還不及發(fā)達(dá)國家,主要原因是我國EDA技術(shù)的應(yīng)用水平長期落后于發(fā)達(dá)國家。本設(shè)計要實現(xiàn)自動售貨機的基本功能,可以實現(xiàn)投幣
23、處理、計算投幣總額、輸出商品、輸出找零的控制。</p><p><b> 2.3設(shè)計流程圖</b></p><p><b> 圖1.設(shè)計流程圖</b></p><p><b> ??</b></p><p><b> ?2.4設(shè)計方框圖</b>&l
24、t;/p><p> 系統(tǒng)按功能分為:分頻模塊;控制模塊;譯碼模塊;譯碼顯示模塊;按鍵、投幣等模塊。</p><p><b> 圖2.設(shè)計方框圖</b></p><p><b> 2.5工作原理</b></p><p> 首先由售貨員把自動售貨機里的每種商品的數(shù)量和單價通過set鍵和sel鍵置入到
25、RAM里。然后顧客通過sel鍵對所需要購買的商品進行選擇,選定以后通過get鍵進行購買,再按finish鍵取回找?guī)?,同時結(jié)束此次交易。按get鍵時,如果投的錢數(shù)等于或則大于所購買的商品單價,則自動售貨機會給出所購買的商品;如果投的錢數(shù)不夠,自動售貨機不做響應(yīng),繼續(xù)等待顧客的下次操作。顧客的下次操作可以繼續(xù)投幣,直到錢數(shù)到達(dá)所要的商品單價進行購買;也可以直接按finish鍵退幣。</p><p><b>
26、 設(shè)計方案</b></p><p><b> 3.1設(shè)計方案流程</b></p><p> 1)創(chuàng)建工程準(zhǔn)備工作。首先打開可以利用的資源管理器,創(chuàng)建一個文件夾,名字和程序文本名相同。其次,輸入源程序并保存。</p><p> 2)創(chuàng)建一個工程,打開建立新工程管理窗口,將文件加入工程中,選擇合適的目標(biāo)芯片,進行工具設(shè)置。并進行
27、全程編譯。</p><p> 3)時序仿真。首先打開波形編輯器,設(shè)置仿真時間區(qū)域,并將波形文件存盤。然后將工程的端口節(jié)點選入波形編輯器中,設(shè)置仿真參數(shù)后啟動仿真器并觀察仿真波形.</p><p><b> 3.2系統(tǒng)方框圖</b></p><p> 其中,clk為輸入時鐘脈沖信號,set為貨物信息存儲信號,get為購買信號,set為貨物選
28、擇信號,finish為購買完成信號,coin0和coin1分別為5角和1元的投幣,price[3..0]和quantity[3..0]分別為商品價格和數(shù)量。</p><p> 輸出信號中,item0[3..0]和act[3..0]指示選擇商品的種類并譯碼輸出,y0輸出購買消費的錢數(shù),y1輸出購買商品的單價,act10和act5分別表示找零的1元和5角的硬幣數(shù)量。</p><p><
29、b> 圖3.系統(tǒng)方框圖</b></p><p><b> 3.3電路原理圖</b></p><p> 將VHDL程序所生成的模塊圖和對應(yīng)的輸入、輸出相連,得到最終的總體電路原理圖,經(jīng)過仿真以后,在電路原理圖會出來具體的管腳信息,如圖4所示</p><p><b> 圖4.電路原理圖</b><
30、/p><p><b> 3.4時序仿真</b></p><p> 為了進一步考察設(shè)計出來的自動售貨機的選擇、購買和找?guī)殴δ苁欠裾_,要用時序仿真波形進行分析。</p><p> 圖5.商品選擇功能仿真圖</p><p> 自動售貨機的商品選擇功能仿真如圖5所示。當(dāng)商品選擇信號sel為高平時,對所要購買的商品種類進行選
31、擇。在sel逐次加1時,從而實現(xiàn)4種商品的循環(huán)選擇。并通過輸出端item0接的發(fā)光二極管進行指示。</p><p> 圖6.投幣功能仿真圖</p><p> 自動售貨機的投幣功能如圖6所示。Coin1對應(yīng)1元硬幣,coin0對應(yīng)5角銀幣,則以5角為計數(shù)單位,投入1元 硬幣時計數(shù)器加2,投入5角銀幣時計數(shù)器加1,保存計數(shù)器的值,已備購買商品或找?guī)攀沁M行計算,并顯示投入的錢數(shù)。</p
32、><p> 圖7.購買與找零仿真圖</p><p> 自動售貨機的購買和找?guī)殴δ苋鐖D7所示。當(dāng)購買信號get為高電平時選擇的商品種類進行購買,計算剩余的商品的錢數(shù);當(dāng)購買完成信號finish為高電平時根據(jù)計算所得到的錢數(shù)給找出相應(yīng)的銀幣數(shù)量,并顯示剩余的錢數(shù)。</p><p><b> 圖8.系統(tǒng)總仿真圖</b></p><
33、;p> 初始狀態(tài)下,售貨機中有純凈水6瓶(1.5元/瓶),可樂7瓶(2元/瓶),果汁8瓶(2.5元/瓶),奶茶9瓶(3元/瓶)。在一次售貨過程中,顧客選擇了奶茶,并開始投幣,首先投入兩個1元硬幣,接著又投兩個5角硬幣,之后再投一個1元硬幣,然后get信號確定購買,此時顯示器的投幣總額顯示為8(8個五角硬幣,即4元),投幣錢數(shù)大于3元,故可購買。Finish信號表示交易結(jié)束,并找零。然后由計算器計算找零錢數(shù),并找回1元,同時顯示剩
34、余奶茶數(shù)量為8。.</p><p><b> 參考文獻</b></p><p> [1]松潘 黃繼業(yè).EDA技術(shù)與VHDL【M】.第三版,清華大學(xué)大學(xué)出版社,2009年</p><p> [2]賀超英.MATLAB應(yīng)用于實驗教程【M】.第一版,電子工業(yè)大學(xué)出版社,2010年</p><p> [3]張肅文,高頻電
35、子線路【M】.第四版,高等教育出版社,2004年</p><p> [4]康華光,數(shù)字電子技術(shù)【M】.第五版,高等教育出版社,2006年</p><p> [5]丘關(guān)源,電路【M】.第五版,高等教育出版社,2006年</p><p> [6]張毅剛,彭喜元,單片機原理與接口技術(shù)【M】.第一版,人民郵電出版社,2008年</p><p>
36、 [7]鄭燕,基于VHDL語言與QuartusⅡ軟件的可編程邏輯器件應(yīng)用與開發(fā)【M】,2007年</p><p><b> 附錄</b></p><p><b> 1.程序源代碼</b></p><p> library ieee; </p><p> use ieee.std_log
37、ic_arith.all; </p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> entity AUTO is</p><p> port ( clk:in std_logic;
38、 --系統(tǒng)時鐘</p><p> set,get,sel,finish: in std_logic; --設(shè)定、買、選擇、完成信號</p><p> coin0,coin1: in std_logic; --5角硬幣、1元硬幣</p><p> price,quantity :in std_logic_vector(
39、3 downto 0); --價格、數(shù)量數(shù)據(jù)</p><p> item0 , act:out std_logic_vector(3 downto 0); --顯示、開關(guān)信號</p><p> y0,y1 :out std_logic_vector(6 downto 0); --錢數(shù)、商品數(shù)量顯示數(shù)據(jù)</p><p> act10,act5 :
40、out std_logic); --1元硬幣、5角硬幣</p><p><b> end AUTO;</b></p><p> architecture behav of AUTO is</p><p> type ram_type is array(3 downto 0)of std_logic_v
41、ector(7 downto 0); </p><p> signal ram :ram_type; --定義RAM</p><p> signal item: std_logic_vector(1 downto 0); --商品種類</p><p> signal coin: std_lo
42、gic_vector(3 downto 0); --幣數(shù)計數(shù)器</p><p> signal pri,qua:std_logic_vector(3 downto 0); --商品單價、數(shù)量</p><p> signal clk1: std_logic; --控制系統(tǒng)的時鐘信號</p><p&
43、gt;<b> begin</b></p><p> com:process(set,clk1)</p><p> variable quan:std_logic_vector(3 downto 0);</p><p><b> begin</b></p><p> if set='
44、;1' then ram(conv_integer(item))<=price & quantity;act<="0000";</p><p> --把商品的單價、數(shù)量置入到RAM</p><p> elsif clk1'event and clk1='1' then act5<='0';
45、act10<='0';</p><p> if coin0='1' then </p><p> if coin<"1001"then coin<=coin+1; --投入5角硬幣,coin自加1</p><p> else coin<="0000";&l
46、t;/p><p><b> end if;</b></p><p> elsif coin1='1' then </p><p> if coin<"1001"then coin<=coin+2; --投入1元硬幣,coin自加2</p><p> else coi
47、n<="0000";</p><p><b> end if;</b></p><p> elsif sel='1' then item<=item+1; --對商品進行循環(huán)選擇</p><p> elsif get='1' then
48、 --對商品進行購買</p><p> if qua>"0000" and coin>=pri then coin<=coin-pri;quan:=quan-1;</p><p> ram(conv_integer(item))<=pri & quan;</p><p> if item=&qu
49、ot;00" then act<="1000"; </p><p> --購買時,自動售貨機對4種商品的操作</p><p> elsif item="01" then act<="0100";</p><p> elsif item="10" then a
50、ct<="0010";</p><p> elsif item="11" then act<="0001";</p><p><b> end if;</b></p><p><b> end if;</b></p><p&
51、gt; elsif finish='1' then --結(jié)束交易,退幣(找?guī)牛?lt;/p><p> if coin>"0001" then act10<='1';coin<=coin-2; </p><p> --此IF語句完成找?guī)挪僮?lt;/p><p&g
52、t; elsif coin>"0000" then act5<='1'; coin<=coin-1;</p><p> else act5<='0'; act10<='0';</p><p><b> end if;</b></p><p>
53、 elsif get='0' then act<="0000"; </p><p> for i in 4 to 7 loop </p><p> pri(i-4)<=ram (conv_integer(item))(i); --商品單價的讀取</p>
54、;<p><b> end loop;</b></p><p> for i in 0 to 3 loop</p><p> quan(i):=ram(conv_integer(item))(i); --商品數(shù)量的讀取</p><p> end loop; end if ; end if;</p>
55、<p> qua<=quan;</p><p> end process com;</p><p> m32:process(clk) --此進程完成對32Mhz的脈沖分頻</p><p> variable q: std_logic_vector( 24 downto 0);</p>&
56、lt;p><b> begin</b></p><p> if clk'event and clk='1' then q:=q+1;</p><p><b> end if;</b></p><p> if q="111111111111111111111111"
57、then clk1<='1';</p><p> else clk1<='0';</p><p><b> end if;</b></p><p> end process m32;</p><p> code0:process(item)
58、 --商品指示燈譯碼</p><p><b> begin</b></p><p> case item is</p><p> when "00"=>item0<="0111";</p><p> when "01"=>
59、item0<="1011";</p><p> when "10"=>item0<="1101";</p><p> when others=>item0<="1110";</p><p><b> end case;</b>&l
60、t;/p><p> end process;</p><p> code1:process(coin) --錢數(shù)的BCD到七段碼的譯碼</p><p><b> begin</b></p><p> case coin is</p><p> when
61、"0000"=>y0<="0000001";</p><p> when "0001"=>y0<="1001111";</p><p> when "0010"=>y0<="0010010";</p><p>
62、; when "0011"=>y0<="0000110";</p><p> when "0100"=>y0<="1001100";</p><p> when "0101"=>y0<="0100100";</p>
63、<p> when "0110"=>y0<="0100000";</p><p> when "0111"=>y0<="0001111";</p><p> when "1000"=>y0<="0000000";<
64、/p><p> when "1001"=>y0<="0000100";</p><p> when others=>y0<="1111111";</p><p><b> end case;</b></p><p> end proc
65、ess;</p><p> code2: process (qua) --單價的BCD到七段碼的譯碼</p><p><b> Begin</b></p><p> case qua is</p><p> when "0000"=>y1<=
66、"0000001";</p><p> when "0001"=>y1<="1001111";</p><p> when "0010"=>y1<="0010010";</p><p> when "0011"=>
67、;y1<="0000110";</p><p> when "0100"=>y1<="1001100";</p><p> when "0101"=>y1<="0100100";</p><p> when "0110&q
68、uot;=>y1<="0100000";</p><p> when "0111"=>y1<="0001111";</p><p> when "1000"=>y1<="0000000";</p><p> when &quo
69、t;1001"=>y1<="0000100";</p><p> when others=>y1<="1111111";</p><p><b> end case;</b></p><p> end process;</p><p> e
70、nd behav;</p><p><b> 2,管腳分配圖</b></p><p> 首先進行管腳連接,如圖9所示,已經(jīng)將基本管腳連接至實驗用芯片上,在將各個連線接至所需要的功能按鍵、開關(guān)、數(shù)碼管或發(fā)光二極管上面</p><p><b> 圖9.管腳分配圖</b></p><p><b
71、> 總 結(jié)</b></p><p> 經(jīng)過這次的課程設(shè)計實驗,我個人得到了不少的收獲,一方面加深了我對課本理論的認(rèn)識,另一方面也提高了實驗操作能力?,F(xiàn)在我總結(jié)了以下的體會和經(jīng)驗。</p><p> 這次的實驗跟我們以前做的實驗不同,因為我覺得這次我是真真正正的自己親自去完成。所以是我覺得這次實驗最寶貴,最深刻的。就是實驗的過程全是我們學(xué)生自己動手來完成的,這樣,
72、我們就必須要弄懂實驗的原理。在這里我深深體會到哲學(xué)上理論對實踐的指導(dǎo)作用:弄懂實驗原理,而且體會到了實驗的操作能力是靠自己親自動手,親自開動腦筋,親自去請教別人才能得到提高的。</p><p> 我們做實驗絕對不能人云亦云,要有自己的看法,這樣我們就要有充分的準(zhǔn)備,若是做了也不知道是個什么實驗,那么做了也是白做。實驗總是與課本知識相關(guān)的。</p><p> 在實驗過程中,我們應(yīng)該盡量減
73、少操作的盲目性提高實驗效率的保證,有的人一開始就趕著做,結(jié)果卻越做越忙,主要就是這個原因。我也曾經(jīng)犯過這樣的錯誤。</p><p> 在實驗的過程中我們要培養(yǎng)自己的獨立分析問題,和解決問題的能力。培養(yǎng)這種能力的前題是你對每次實驗的態(tài)度。如果你在實驗這方面很隨便,抱著等老師教你怎么做,拿同學(xué)的報告去抄,盡管你的成績會很高,但對將來工作是不利的。</p><p> 通過電子課程設(shè)計的數(shù)字部
74、分EDA設(shè)計,我們掌握了系統(tǒng)的數(shù)學(xué)電子設(shè)計的方法,也知道了使用調(diào)試適配的具體操作方法。在設(shè)計過程中,我們遇到了各種問題,在老師的耐心指導(dǎo)下和我們自己的努力,克服了各種問題,最后得到了成功。但是我也發(fā)現(xiàn)了一些問題,發(fā)現(xiàn)了自己的不足,在課程設(shè)計方面自己的一些欠缺。如程序的正確性和完整性,仿真圖的正確性,以及管腳的連接等。總之,這次設(shè)計使我掌握了很都有用的經(jīng)驗,也學(xué)到了很多在學(xué)本上學(xué)不到的知識,和一些操作技巧,為以后的學(xué)習(xí)和工作打下了堅實的基
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- eda課程設(shè)計--自動售貨機
- eda自動售貨機設(shè)計
- eda課程設(shè)計報告(自動售貨機)
- eda課程設(shè)計自動售貨機的設(shè)計
- 自動售貨機電路eda課程設(shè)計
- eda課程設(shè)計---簡易售貨機
- eda課程設(shè)計---簡易售貨機
- 自動售貨機課程設(shè)計
- 自動售貨機課程設(shè)計
- 自動售貨機課程設(shè)計
- 自動售貨機課程設(shè)計--飲料自動售貨機控制系統(tǒng)設(shè)計
- plc自動售貨機課程設(shè)計
- 課程設(shè)計報告---自動售貨機
- plc課程設(shè)計---自動售貨機
- 自動售貨機設(shè)計
- plc自動售貨機課程設(shè)計
- plc自動售貨機課程設(shè)計
- plc自動售貨機課程設(shè)計
- plc課程設(shè)計---自動售貨機
- 自動售貨機設(shè)計
評論
0/150
提交評論