2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩50頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p><b>  學(xué)年論文</b></p><p>  題 目 基于51單片機(jī)的紅外自動(dòng)淋浴系統(tǒng) </p><p>  院 系 電子工程系 </p><p>  專(zhuān) 業(yè) 電子科學(xué)與技術(shù) </p><p>  學(xué)生姓名 </p>

2、<p>  學(xué) 號(hào) </p><p>  指導(dǎo)教師 </p><p>  職 稱(chēng) 講 師 </p><p>  二O一三 年 十二 月 十 日</p><p><b>  目 錄</b></p><

3、;p><b>  1 緒論5</b></p><p>  1.1研究背景及意義5</p><p>  1.2國(guó)內(nèi)外研究現(xiàn)狀6</p><p>  1.3論文主要研究?jī)?nèi)容及安排7</p><p>  2系統(tǒng)整體方案設(shè)計(jì)7</p><p>  2.1系統(tǒng)設(shè)計(jì)思路7</

4、p><p>  2.2系統(tǒng)設(shè)計(jì)思路8</p><p>  2.2.1 方案一8</p><p>  2.2.2 方案二8</p><p>  3硬件電路設(shè)計(jì)9</p><p>  3.1單片機(jī)STC89C52的選型9</p><p>  3.1.1 STC89C52介紹9</

5、p><p>  3.1.2 STC89C52引腳介紹10</p><p>  3.2 LCD1602液晶選型12</p><p>  3.2.1 LCD1602介紹及參數(shù)12</p><p>  3.2.2 LCD1602的電路連接12</p><p>  3.2.3 LCD1602模塊使用時(shí)注意事項(xiàng)1

6、3</p><p>  3.3 溫度傳感器DS18B20選型14</p><p>  3.3.1溫度傳感器主要特性14</p><p>  3.3.2 DS18B20溫度傳感器原理14</p><p>  3.4 報(bào)警裝置的選型18</p><p>  3.4.1蜂鳴器介紹:18</p><

7、;p>  3.4.2蜂鳴器的結(jié)構(gòu)原理18</p><p>  3.4.3有源蜂鳴器和無(wú)源蜂鳴器19</p><p>  3.2紅外模塊19</p><p>  3.2.1 RE200B熱釋紅外傳感器結(jié)構(gòu)圖及工作原理20</p><p>  3.2.2熱釋電紅外傳感器RE200B處理芯片BISS000121</p>

8、<p>  3.3 單片機(jī)最小系統(tǒng)22</p><p>  3.4 報(bào)警電路23</p><p>  3.5 按鍵觸發(fā)信號(hào)24</p><p>  3.5 顯示系統(tǒng)設(shè)計(jì)24</p><p>  3.5 溫度采集系統(tǒng)設(shè)計(jì)25</p><p>  3.6 指示電路系統(tǒng)設(shè)計(jì)25</p

9、><p>  3.6 繼電器電路系統(tǒng)設(shè)計(jì)26</p><p>  3.6 紅外感應(yīng)電路系統(tǒng)設(shè)計(jì)27</p><p>  4系統(tǒng)軟件設(shè)計(jì)27</p><p><b>  4.1流程圖28</b></p><p>  4.2各部分程序29</p><p>  5實(shí)

10、物性能測(cè)試35</p><p>  5.1單片機(jī)測(cè)試35</p><p>  5.2程序調(diào)試35</p><p>  6編程和下載軟件的介紹36</p><p>  6.1 Keil的簡(jiǎn)介36</p><p>  6.2 Altium Designer 6.0的簡(jiǎn)介42</p><p&

11、gt;  6.3 STC_ISP_V480的簡(jiǎn)介45</p><p>  7總結(jié)與未來(lái)展望46</p><p><b>  參考文獻(xiàn)47</b></p><p><b>  致 謝48</b></p><p>  基于51單片機(jī)的紅外自動(dòng)淋浴系統(tǒng)</p><p>&l

12、t;b>  胥杰</b></p><p>  南京信息工程大學(xué)濱江學(xué)院,南京 210044</p><p>  摘要:本設(shè)計(jì)是基于51單片機(jī)的紅外自動(dòng)淋浴系統(tǒng)。本設(shè)計(jì)的控制核心是STC89C52單片機(jī),使用ds18b20溫度傳感器對(duì)水溫進(jìn)行采集,可以通過(guò)外界的按鈕對(duì)水溫的上限進(jìn)行控制,并顯示在液晶上,當(dāng)水溫超過(guò)設(shè)定的溫度后進(jìn)行報(bào)警,提示人們水已燒好。然后,通過(guò)熱釋電紅外傳

13、感器對(duì)是否有人進(jìn)行檢測(cè),當(dāng)檢測(cè)的有人時(shí),單片機(jī)控制電磁閥打開(kāi),可以洗澡。人走后,電磁閥關(guān)閉,禁止水流出。整個(gè)設(shè)計(jì)綜合了溫度控制,液晶顯示,紅外檢測(cè)等多方面的知識(shí)。</p><p>  關(guān)鍵詞:51;STC89C52;紅外;BISS0001;RE200B </p><p><b>  1 緒論</b></p><p><b>  研究背

14、景及意義</b></p><p>  燒水需要用電,用電就要消耗煤,消耗煤炭就會(huì)產(chǎn)生大量的二氧化碳和塵埃,就會(huì)導(dǎo)致“高排放”和“高碳”。降低我們的生活環(huán)境的質(zhì)量。最近幾年國(guó)家提倡“低碳生活”。就是要讓我們生活上注意資源的合理利用,減少資源的不必要的浪費(fèi)。低碳生活既是一種生活方式,更是一種可持續(xù)發(fā)展的環(huán)保責(zé)任和義務(wù)。但是,有些資源的浪費(fèi)又不是人們有意的浪費(fèi),而是某些領(lǐng)域科學(xué)技術(shù)的滯后所導(dǎo)致的。</

15、p><p>  水資源的浪費(fèi)是最常見(jiàn)的。在我們生活公共浴室,家庭中也有太陽(yáng)能熱水器之類(lèi)的淋浴裝置。其中大多是傳統(tǒng)淋浴設(shè)備,造成大量水資源的不必要浪費(fèi)。傳統(tǒng)的噴頭開(kāi)關(guān)是機(jī)械式的水閥,長(zhǎng)期的開(kāi)合必定導(dǎo)致水閥的損壞,導(dǎo)致水的浪費(fèi)。一個(gè)噴頭一周浪費(fèi)1t水,1千個(gè),1萬(wàn)個(gè),這個(gè)數(shù)字就非??膳铝恕?jù)此,利用所學(xué)知識(shí),將傳統(tǒng)水閥換成電磁水閥,并融入單片機(jī)自動(dòng)控制技術(shù)、傳感技術(shù)加以設(shè)計(jì)。將整個(gè)淋浴過(guò)程變得更智能,更加節(jié)約資源,有顯著

16、的經(jīng)濟(jì)效益。</p><p>  首先談一下電磁水閥,電磁水閥選用于以水或液體為工作介質(zhì),可自動(dòng)控制或遠(yuǎn)程控制水、油、液體等工作介質(zhì)管路的通斷。很多電磁水閥采用橡膠密封,故對(duì)工作介質(zhì)的清潔度大大減低,具有啟閉迅速,可靠性高等優(yōu)點(diǎn)。</p><p>  隨著科學(xué)技術(shù)的發(fā)展,人們的生活水平得到了提高。人們的生活也越來(lái)越智能化。之所以智能,說(shuō)到底都離不開(kāi)單片機(jī)。目前單片機(jī)滲透到我們生活的各個(gè)領(lǐng)域

17、,每個(gè)領(lǐng)域幾乎都可以找到單片機(jī)的蹤跡。導(dǎo)彈的導(dǎo)航裝置,飛機(jī)上各種儀表的控制,計(jì)算機(jī)的網(wǎng)絡(luò)通訊與數(shù)據(jù)傳輸,等等。其中,有一種典型且比較基礎(chǔ)的就是51單片機(jī)了,綜合單片機(jī)性?xún)r(jià)比與穩(wěn)定性,考慮采用STC89C52單片機(jī)作為本設(shè)計(jì)的主控芯片。</p><p>  為了實(shí)現(xiàn)智能淋浴,傳感器的選擇也至關(guān)重要。所有,根據(jù)人體紅外線(xiàn)輻射的能量變化的特性,選擇RE200B傳感器。該傳感器采用熱釋電材料極化隨溫度變化的特性探測(cè)紅外輻

18、射,采用雙靈敏元互補(bǔ)方法抑制溫度變化產(chǎn)生的干擾,提高了傳感器的工作穩(wěn)定性。利用它,可以有效的檢查到人的存在與否。</p><p>  你的論文想空行就空行,很隨便,你必須嚴(yán)格按照標(biāo)題的格式來(lái)?。。?!全文的標(biāo)題都要修改?。?!</p><p><b>  國(guó)內(nèi)外研究現(xiàn)狀</b></p><p>  紅外感應(yīng)淋浴器,就是一個(gè)單獨(dú)的淋浴隔間,即充分利用

19、洗浴空間,將全自動(dòng)感應(yīng)式淋浴器與衛(wèi)生潔具置于一室。紅外感應(yīng)淋浴器制造行業(yè)與馬桶、五金件制造行業(yè)是全然不同的,不論是生產(chǎn)研發(fā)或者是渠道建設(shè),都有其極為獨(dú)特的操作方式。只能充分考慮到全自動(dòng)感應(yīng)式淋浴器行業(yè)的特色才能進(jìn)行進(jìn)一步的資源整合。 </p><p>  當(dāng)前,國(guó)內(nèi)紅外感應(yīng)淋浴器市場(chǎng)具有三大發(fā)展特征: </p><p>  一是:相較于其他的衛(wèi)浴產(chǎn)品,全自動(dòng)感應(yīng)式淋浴器誕生的時(shí)間

20、比較晚,紅外感應(yīng)淋浴器產(chǎn)品只是最基本的框架,其還具有比較大的開(kāi)發(fā)潛能。比如整合進(jìn)蒸汽功能的蒸汽全自動(dòng)感應(yīng)式淋浴器,以及其它功能的新型紅外感應(yīng)淋浴器等。無(wú)論是玻璃顏色、用料選材,或者是簡(jiǎn)單的推拉門(mén)的設(shè)計(jì),都能導(dǎo)致令人耳目一新的新產(chǎn)品的出現(xiàn)。 </p><p>  二是:全自動(dòng)感應(yīng)式淋浴器相較于其他衛(wèi)浴產(chǎn)品最大的不同在于其不能在流水線(xiàn)上標(biāo)準(zhǔn)化操作,因此這種非標(biāo)定制化生產(chǎn),產(chǎn)品流通不便。紅外感應(yīng)淋浴器的非標(biāo)定制化

21、生產(chǎn),造成了其價(jià)格偏高的市場(chǎng)現(xiàn)狀。若定制化產(chǎn)品將是整個(gè)全自動(dòng)感應(yīng)式淋浴器行業(yè)發(fā)展的必然趨勢(shì),紅外感應(yīng)淋浴器產(chǎn)業(yè)如今的努力勢(shì)必為日后的發(fā)展奠定堅(jiān)實(shí)基礎(chǔ)。 </p><p>  三是:絕大多數(shù)全自動(dòng)感應(yīng)式淋浴器企業(yè)規(guī)模偏小,在渠道為王的傳統(tǒng)制造業(yè)當(dāng)中,小規(guī)模企業(yè)無(wú)疑會(huì)在渠道建設(shè)方面心有余而力不足,從而進(jìn)一步影響企業(yè)本身的發(fā)展壯大。</p><p>  論文主要研究?jī)?nèi)容及安排</p&

22、gt;<p>  針對(duì)水資源浪費(fèi)的現(xiàn)狀,并結(jié)合國(guó)內(nèi)外現(xiàn)有的相關(guān)研究成果及現(xiàn)有的成熟技術(shù)。本論文進(jìn)行了基于單片機(jī)的紅外淋浴器系統(tǒng)的研究與設(shè)計(jì)。</p><p><b>  論文的主要內(nèi)容有:</b></p><p>  第1章 緒論。研究目的及意義、紅外傳感技術(shù)在國(guó)內(nèi)外發(fā)展和現(xiàn)狀;</p><p>  第2章 系統(tǒng)整體方案設(shè)計(jì)。主要

23、包括系統(tǒng)的設(shè)計(jì)思路與系統(tǒng)方案對(duì)比;</p><p>  第3章 介紹硬件電路設(shè)計(jì)。主要包括系統(tǒng)各模塊的主要芯片、芯片的功能、各模塊的功能作用闡述及其紅外傳感模塊及報(bào)警顯示模塊硬件電路設(shè)計(jì)等;</p><p>  第4章 系統(tǒng)軟件設(shè)計(jì)。軟件設(shè)計(jì)部分包括系統(tǒng)程序;</p><p>  第5章 實(shí)物性能測(cè)試;</p><p>  第6章 編程和下載

24、軟件;</p><p>  第7章 總結(jié)與未來(lái)展望。</p><p>  你整篇論文就在抄別人的,現(xiàn)在是學(xué)年論文,只要20頁(yè)以?xún)?nèi),你的論文是給自己寫(xiě)的,到時(shí)候畢業(yè)論文的查重直接不及格。</p><p><b>  系統(tǒng)整體方案設(shè)計(jì)</b></p><p><b>  系統(tǒng)設(shè)計(jì)思路</b></p

25、><p>  紅外自動(dòng)淋浴系統(tǒng)的設(shè)計(jì)思路:首先,人可以設(shè)定加熱溫度,液晶上顯示當(dāng)前水溫和設(shè)定溫度,按下啟動(dòng)按鍵,啟動(dòng)加熱用繼電器(模擬加熱器),當(dāng)溫度達(dá)到設(shè)定溫度后,采用聲音報(bào)警,提示人水已經(jīng)燒好,加熱繼電器自動(dòng)關(guān)閉。此時(shí),啟動(dòng)紅外系統(tǒng),當(dāng)檢測(cè)到有人來(lái)是,指示燈亮起,觸發(fā)水閥繼電器打開(kāi)(模擬電磁水閥的打開(kāi)),開(kāi)始噴淋,當(dāng)人走后,紅外系統(tǒng)檢測(cè)不到人時(shí),繼電器關(guān)閉(模擬電磁水閥的關(guān)閉)。</p><p

26、><b>  系統(tǒng)設(shè)計(jì)思路</b></p><p><b>  2.2.1 方案一</b></p><p>  溫度傳感器采集溫度,按鍵設(shè)定溫度,啟動(dòng)加熱裝置。采用市場(chǎng)上現(xiàn)有的紅外人體傳感器進(jìn)行對(duì)人體紅外信號(hào)采集,將信號(hào)傳給單片機(jī),單片機(jī)作出相應(yīng)的操作,如繼電器的開(kāi)合,聲光報(bào)警,用數(shù)碼管顯示溫度?,F(xiàn)有模塊集成性好,但成本較高,如果模塊出現(xiàn)問(wèn)

27、題不易維修和檢查;其次數(shù)碼管顯示較多數(shù)據(jù)時(shí),占用空間大且電路布線(xiàn)增多,增加了不穩(wěn)定因素的概率。所以該方案能基本系統(tǒng)功能。</p><p><b>  圖1 方案一</b></p><p><b>  2.2.2 方案二</b></p><p>  溫度傳感器采集溫度,按鍵設(shè)定溫度,啟動(dòng)加熱裝置。采用自己設(shè)計(jì)的人體紅外傳感器

28、對(duì)人體進(jìn)行紅外信號(hào)采集,將信號(hào)傳給單片機(jī),單片機(jī)作出相應(yīng)的操作,如繼電器的開(kāi)合,聲光報(bào)警,用LCD液晶顯示溫度。自己設(shè)計(jì)的紅外模塊,出現(xiàn)問(wèn)題易維修和檢查;其次使用LCD1602顯示當(dāng)前溫度和設(shè)定溫度,有著良好的人機(jī)界面。該方案能很好完成系統(tǒng)功能。</p><p><b>  圖2 方案二</b></p><p>  綜合比較以上兩種方案,最終采用方案二。電路顯示效果好

29、、可靠性高、方便維修檢測(cè),故采用了方案二。</p><p><b>  硬件電路設(shè)計(jì)</b></p><p>  本部分介紹整個(gè)硬件系統(tǒng)的主要單元的選型情況,主要包括單片機(jī),LCD顯示模塊,溫度模塊,人體紅外模塊,聲光報(bào)警模塊,繼電器模塊等。</p><p>  3.1單片機(jī)STC89C52的選型</p><p>  3

30、.1.1 STC89C52介紹</p><p>  STC89C52是由深圳宏晶科技公司生產(chǎn)的與工業(yè)標(biāo)準(zhǔn)MCS-51指令集和輸出管腳相兼容的單片機(jī)。STC89C52是一種低功耗、高性能CMOS8位微控制器,具有 8K 在系統(tǒng)可編程Flash 存儲(chǔ)器。在單芯片上,擁有靈巧的8 位CPU 和在系統(tǒng)可編程Flash,使得STC89C52為眾多嵌入式控制應(yīng)用系統(tǒng)提供高靈活、超有效的解決方案。</p>&l

31、t;p>  具有以下標(biāo)準(zhǔn)功能: 8k字節(jié)Flash,512字節(jié)RAM, 32 位I/O 口線(xiàn),看門(mén)狗定時(shí)器,內(nèi)置4KB EEPROM,MAX810復(fù)位電路,2個(gè)16 位 定時(shí)器/計(jì)數(shù)器,一個(gè)6向量2級(jí)中斷結(jié)構(gòu),全雙工串行口。另外, STC89X52 可降至0Hz 靜態(tài)邏輯操作,支持2種軟件可選擇節(jié)電模式??臻e模式下,CPU 停止工作,允許RAM、定時(shí)器/計(jì)數(shù)器、串口、中斷繼續(xù)工作。掉電保護(hù)方式下,RAM內(nèi)容被保存,振蕩器被凍結(jié),單

32、片機(jī)一切工作停止,直到下一個(gè)中斷或硬件復(fù)位為止。最高運(yùn)作頻率35MHz,6T/12T可選。</p><p>  其PDIP封裝如圖3所示。</p><p>  圖3 STC89C52 PDIP封裝圖</p><p>  3.1.2 STC89C52引腳介紹</p><p>  管腳說(shuō)明:     V

33、CC:供電電壓。     GND:接地。     P0口:P0口為一個(gè)8位漏級(jí)開(kāi)路雙向I/O口,每腳可吸收8TTL門(mén)電流。當(dāng)P1口的管腳第一次寫(xiě)1時(shí),被定義為高阻輸入。P0能夠用于外部程序數(shù)據(jù)存儲(chǔ)器,它可以被定義為數(shù)據(jù)/地址的第八位。在FIASH編程時(shí),P0 口作為原碼輸入口,當(dāng)FIASH進(jìn)行校驗(yàn)時(shí),P0輸出原碼,此時(shí)P0外部必須被拉高。 &

34、#160;   P1口:P1口是一個(gè)內(nèi)部提供上拉電阻的8位雙向I/O口,P1口緩沖器能接收輸出4TTL門(mén)電流。P1口管腳寫(xiě)入1后,被內(nèi)部上拉為高,可用作輸入,P1口被外部下拉為低電平時(shí),將輸出電流,這是由于內(nèi)部上拉的緣故。在FLASH編程和校驗(yàn)時(shí),P1口作為第八位地址接收。      P2口:P2口為一個(gè)內(nèi)部上拉電阻的8位雙向I/O口,P2口緩沖器可接收,輸出4個(gè)TTL門(mén)電流

35、,當(dāng)P2口被寫(xiě)“1”時(shí),其管腳被內(nèi)部上拉電阻拉高,且作為輸入。并因此作為輸入時(shí),P2口的管腳被外部拉低,將輸出電流。這是由于內(nèi)部上拉的緣故。P2口當(dāng)用于外部程序存儲(chǔ)器或16位地址外部數(shù)據(jù)存儲(chǔ)器進(jìn)行存取時(shí),P2口輸出地址的高八位。在給出地址“1”時(shí),它利用內(nèi)</p><p>  EA/VPP:當(dāng)/EA保持低電平時(shí),則在此期間外部程序存儲(chǔ)器(0000H-FFFFH),不管是否有內(nèi)部程序存儲(chǔ)器。注意加密方式1時(shí),/EA

36、將內(nèi)部鎖定為RESET;當(dāng)/EA端保持高電平時(shí),此間內(nèi)部程序存儲(chǔ)器。在FLASH編程期間,此引腳也用于施加12V編程電源(VPP)。XTAL1:反向振蕩放大器的輸入及內(nèi)部時(shí)鐘工作電路的輸入。XTAL2:來(lái)自反向振蕩器的輸出。</p><p>  3.2 LCD1602液晶選型</p><p>  3.2.1 LCD1602介紹及參數(shù)</p><p>  遠(yuǎn)程

37、電機(jī)控制部分中使用LCD1602顯示實(shí)時(shí)的電機(jī)轉(zhuǎn)速及電機(jī)轉(zhuǎn)向情況,有助于將實(shí)時(shí)數(shù)據(jù)與PC端接收的數(shù)據(jù)進(jìn)行對(duì)比。</p><p>  LCD2602的技術(shù)參數(shù)如下:</p><p>  工作電壓:4.5V ~ 5.5V DC</p><p>  工作溫度: -40℃~85℃</p><p><b>  分辨率:16×2<

38、;/b></p><p><b>  背光燈:綠色LED</b></p><p>  液晶功率:0.021W(Vcc=5V 時(shí)測(cè)算)</p><p>  背光功率:0.7W(25℃時(shí)測(cè)算)</p><p>  液晶驅(qū)動(dòng):需要內(nèi)置液晶負(fù)壓</p><p>  液晶屏類(lèi)型:STN單色圖型液晶顯示

39、屏</p><p>  3.2.2 LCD1602的電路連接</p><p>  液晶顯示模塊具有體積小、功耗低、顯示內(nèi)容豐富、超薄輕巧等優(yōu)點(diǎn),因此,在袖珍式儀表和低功耗應(yīng)用系統(tǒng)中得到越來(lái)越廣泛的應(yīng)用,現(xiàn)在字符型液晶顯示模塊已經(jīng)是單片機(jī)應(yīng)用設(shè)計(jì)中最常用的信息顯示器件了。這里向大家介紹一款LCD1602液晶顯示模塊,采用單+5V電源供電,外圍電路配置簡(jiǎn)單,價(jià)格便宜,具有很高的性?xún)r(jià)比。<

40、;/p><p>  液晶模塊與單片機(jī)的接口方法分為直接訪(fǎng)問(wèn)方式和間接控制方式。直接訪(fǎng)問(wèn)方式是把液晶模塊作為存儲(chǔ)器或I/O設(shè)備直接接在單片機(jī)的總線(xiàn)上,單片機(jī)以訪(fǎng)問(wèn)存儲(chǔ)器或I/O設(shè)備的方式操作液晶顯示模塊的工作。間接控制方式則不使用單片機(jī)的數(shù)據(jù)系統(tǒng),而是利用它的I/0口來(lái)實(shí)現(xiàn)與顯示模塊的聯(lián)系。即將液晶顯示模塊的數(shù)據(jù)線(xiàn)與單片機(jī)的P0口連接作為數(shù)據(jù)總線(xiàn),另外三根時(shí)序控制信號(hào)線(xiàn)通常利用單片機(jī)的P1口中未被使用的I/O口來(lái)控制。

41、這種訪(fǎng)問(wèn)方式不占用存儲(chǔ)器空間,它的接口電路與時(shí)序無(wú)關(guān),其時(shí)序完全靠軟件編程實(shí)現(xiàn)。</p><p><b>  表格的格式也不對(duì)</b></p><p>  表1 LCD引腳功能圖</p><p>  將LCD1602的第2、15連接到系統(tǒng)的+5V電源,第2、16引腳接地;第4、5、6引腳分別與單片機(jī)的P20、P21和P22,由于單片機(jī)的P0口

42、沒(méi)有上拉電阻,需要外加10K的上拉電阻,以免影響LCD1602的工作;第7~14引腳共8條和單片機(jī)P0口相接。</p><p>  3.2.3 LCD1602模塊使用時(shí)注意事項(xiàng) </p><p> ?。?)請(qǐng)保持在規(guī)定的溫度范圍內(nèi)使用或存儲(chǔ)。 </p><p> ?。?)不要用比HB硬的物品觸摸、擠按(玻璃,鑷子,等等)。 </p><p>

43、;  (3)丙酮,甲苯醇和異丙醇物質(zhì)這化學(xué)劑會(huì)造成屏幕腐蝕。 </p><p> ?。?)當(dāng)顯示器表面粘有塵埃,可用脫脂棉輕輕擦拭,不要用水擦洗以避免破壞顯示器表面。 </p><p>  (5)當(dāng)有表面有水滴時(shí)應(yīng)立即擦拭,與水接觸的時(shí)間多長(zhǎng)的時(shí)間可能會(huì)導(dǎo)致變形或褪色。 </p><p> ?。?)避免接觸油和油脂。 </p><p>  3

44、.3 溫度傳感器DS18B20選型</p><p>  3.3.1溫度傳感器主要特性</p><p>  DS18B20主要特性:</p><p>  (1)獨(dú)特的單線(xiàn)接口僅需一個(gè)端口進(jìn)行通訊</p><p>  (2)簡(jiǎn)單的多點(diǎn)分布應(yīng)用</p><p><b>  (3)無(wú)需外部器件</b>&l

45、t;/p><p>  (4)可通過(guò)數(shù)據(jù)線(xiàn)供電</p><p><b>  (5)零待機(jī)功耗</b></p><p>  (6)測(cè)溫范圍-55~+125℃</p><p>  (7)溫度以12位數(shù)字量讀出</p><p>  (8)溫度數(shù)字量轉(zhuǎn)換時(shí)間750ms(12位)</p><p

46、>  (9)用戶(hù)可定義的非易失性溫度警報(bào)設(shè)置</p><p>  (10)報(bào)警搜索命令識(shí)別并超過(guò)程序限定溫度(溫度報(bào)警條件)的器件</p><p>  (11)應(yīng)用包括溫度控制、工業(yè)系統(tǒng)、消費(fèi)品、溫度計(jì)或任何熱感測(cè)系統(tǒng)</p><p>  3.3.2 DS18B20溫度傳感器原理</p><p>  DS18B20 采用3 腳PR-35

47、 封裝或8 腳SOIC 封裝,管腳排列如圖4所示。圖中GND 為地,DQ 為數(shù)據(jù)輸入/輸出端(即單線(xiàn)總線(xiàn)),該腳為漏極開(kāi)路輸出,常態(tài)下呈高電平,VCC是外部+5V 電源端,不用時(shí)應(yīng)接地,NC 為空腳。</p><p>  圖4 DS18B20的外部結(jié)構(gòu)</p><p>  DS18B20內(nèi)部主要包括寄生電源、溫度傳感器、64 位激光ROM 單線(xiàn)接口、存放中間數(shù)據(jù)的高速暫存器(內(nèi)含便箋式RA

48、M),用于存儲(chǔ)用戶(hù)設(shè)定的溫度上下限值的TH 和TL 觸發(fā)器存儲(chǔ)與控制邏輯、8 位循環(huán)冗余校驗(yàn)碼(CRC)發(fā)生器等七部分,內(nèi)部結(jié)構(gòu)如圖5。</p><p>  圖5 DS18B20內(nèi)部結(jié)構(gòu)(不能截圖)</p><p>  寄生電源由二極管VD1、VD2 和寄生電容C 組成,電源檢測(cè)電路用于判定供電方式,寄生電源供電時(shí),VDD 端接地,器件從單線(xiàn)總線(xiàn)上獲取電源,在DQ 線(xiàn)呈低電平時(shí),改由C上

49、的電壓Vc繼續(xù)向器件供電。該寄生電源有兩個(gè)優(yōu)點(diǎn):第一,檢測(cè)遠(yuǎn)程溫度時(shí)無(wú)需本地電源;第二,缺少正常電源時(shí)也能讀ROM。若采用外部電源VDD,則通過(guò)VD2 向器件供電。</p><p>  光刻ROM中的64位序列號(hào)是出廠(chǎng)前被光刻好的,它可以看作是該DS18B20的地址序列碼,如表2所示。</p><p>  表2 64 位ROM 的結(jié)構(gòu)</p><p>  開(kāi)始8位

50、(28H)是產(chǎn)品類(lèi)型標(biāo)號(hào),接著的48位是DS18B20自身的序列號(hào),最后8位是前面56位的循環(huán)冗余校驗(yàn)碼(CRC=X8+X5+X4+1)。光刻ROM的作用是使每一個(gè)DS18B20都各不相同,這樣就可以實(shí)現(xiàn)一根總線(xiàn)上掛接多個(gè)DS18B20的目的。主機(jī)操作ROM 的命令有五種,如表3.2所示。</p><p>  表3 DS18B20的ROM命令</p><p>  DS18B20 測(cè)量溫度

51、時(shí)使用特有的溫度測(cè)量技術(shù)。其內(nèi)部的低溫度系數(shù)振蕩器能產(chǎn)生穩(wěn)定的頻率信號(hào)f0,高溫度系數(shù)振蕩器則將被測(cè)溫度轉(zhuǎn)換成頻率信號(hào)f。當(dāng)計(jì)數(shù)門(mén)打開(kāi)時(shí),DS18B20 對(duì)f0 計(jì)數(shù),計(jì)數(shù)門(mén)開(kāi)通時(shí)間由高溫度系數(shù)振蕩器決定。芯片內(nèi)部還有斜率累加器,可對(duì)頻率的非線(xiàn)性予以被償。測(cè)量結(jié)果存入溫度寄存器中。一般情況下的溫度值應(yīng)為9 位(符號(hào)點(diǎn)1位),但因符號(hào)位擴(kuò)展成高8 位,故以16 位被碼形式讀出,表4給出了溫度和數(shù)字量的關(guān)系。</p><

52、p>  表4 DS18B20 溫度數(shù)字對(duì)應(yīng)關(guān)系表</p><p>  DS18B20溫度傳感器的內(nèi)部存儲(chǔ)器包括一個(gè)高速暫存RAM和一個(gè)非易失性的可電擦除的E2RAM,后者存放高溫度和低溫度觸發(fā)器TH、TL和結(jié)構(gòu)寄存器。暫存存儲(chǔ)器包含了8個(gè)連續(xù)字節(jié),前兩個(gè)字節(jié)是測(cè)得的溫度信息,第一個(gè)字節(jié)的內(nèi)容是溫度的低8位,第二個(gè)字節(jié)是溫度的高8位,第三個(gè)和第四個(gè)字節(jié)是TH、TL的易失性拷貝,第五個(gè)字節(jié)是結(jié)構(gòu)寄存器的易失性

53、拷貝,這三個(gè)字節(jié)的內(nèi)容在每一次上電復(fù)位時(shí)被刷新,第六、七、八個(gè)字節(jié)用于內(nèi)部計(jì)算,第九個(gè)字節(jié)是冗余檢驗(yàn)字節(jié),如表5所示。</p><p>  表5 DS18B20暫存器分布</p><p>  該字節(jié)各位的意義為T(mén)M R1 R0 1 1 1 1 1 ,低五位一直都是1 ,TM是測(cè)試模式位,用于設(shè)置DS18B20在工作模式還是在測(cè)試模式,在DS18B20出廠(chǎng)時(shí)該位被設(shè)置為0,用戶(hù)不用改動(dòng),R

54、1和R0用來(lái)設(shè)置分辨率,DS18B20出廠(chǎng)時(shí)被設(shè)置為12位,分辨率設(shè)置如表6所示。</p><p>  表6 分辨率設(shè)置表 </p><p>  根據(jù)DS18B20的通訊協(xié)議,主機(jī)控制DS18B20完成溫度轉(zhuǎn)換必須經(jīng)過(guò)三個(gè)步驟:每一次讀寫(xiě)之前都要對(duì)DS18B20進(jìn)行復(fù)位,復(fù)位成功后發(fā)送一條ROM指令,最后發(fā)送RAM指令,這樣才能對(duì)DS18B20進(jìn)行預(yù)定的操作。復(fù)位要求主CPU將數(shù)據(jù)線(xiàn)下拉

55、500微秒,然后釋放,DS18B20收到信號(hào)后等待16~60微秒左右,后發(fā)出60~240微秒的存在低脈沖,主CPU收到此信號(hào)表示復(fù)位成功。ROM命令令和暫存器的命令如表3和表7。</p><p>  表7 DS18B20暫存器的命令</p><p>  3.4 報(bào)警裝置的選型</p><p>  3.4.1蜂鳴器介紹:</p><p>  

56、1.蜂鳴器的作用 蜂鳴器是一種一體化結(jié)構(gòu)的電子訊響器,采用直流電壓供電,廣泛應(yīng)用于計(jì)算機(jī)、打印機(jī)、復(fù)印機(jī)、報(bào)警器、電子玩具、汽車(chē)電子設(shè)備、電話(huà)機(jī)、定時(shí)器等電子產(chǎn)品中作發(fā)聲器件。</p><p>  2.蜂鳴器的分類(lèi) 蜂鳴器主要分為壓電式蜂鳴器和電磁式蜂鳴器兩種類(lèi)型。</p><p>  3.蜂鳴器的電路圖形符號(hào) 蜂鳴器在電路中用字母“H”或“HA”(舊標(biāo)準(zhǔn)用“FM”、“LB”、“JD”等)

57、表示。</p><p>  序號(hào)不對(duì)?。。。。。。。?!</p><p>  3.4.2蜂鳴器的結(jié)構(gòu)原理</p><p>  1.壓電式蜂鳴器 壓電式蜂鳴器主要由多諧振蕩器、壓電蜂鳴片、阻抗匹配器及共鳴箱、外殼等組成。有的壓電式蜂鳴器外殼上還裝有發(fā)光二極管。多諧振蕩器由晶體管或集成電路構(gòu)成。當(dāng)接通電源后(1.5~15V直流工作電壓),多諧振蕩器起振,輸出1.5~2.5

58、kHZ的音頻信號(hào),阻抗匹配器推動(dòng)壓電蜂鳴片發(fā)聲。壓電蜂鳴片由鋯鈦酸鉛或鈮鎂酸鉛壓電陶瓷材料制成。在陶瓷片的兩面鍍上銀電極,經(jīng)極化和老化處理后,再與黃銅片或不銹鋼片粘在一起。</p><p>  2.電磁式蜂鳴器 電磁式蜂鳴器由振蕩器、電磁線(xiàn)圈、磁鐵、振動(dòng)膜片及外殼等組成。接通電源后,振蕩器產(chǎn)生的音頻信號(hào)電流通過(guò)電磁線(xiàn)圈,使電磁線(xiàn)圈產(chǎn)生磁場(chǎng)。振動(dòng)膜片在電磁線(xiàn)圈和磁鐵的相互作用下,周期性地振動(dòng)發(fā)聲。</p>

59、;<p>  3.4.3有源蜂鳴器和無(wú)源蜂鳴器</p><p>  現(xiàn)在市場(chǎng)上出售的一種小型蜂鳴器因其體積小(直徑只有l(wèi)lmm)、重量輕、價(jià)格低、結(jié)構(gòu)牢靠,而廣泛地應(yīng)用在各種需要發(fā)聲的電器設(shè)備、電子制作和單片機(jī)等電路中。有源蜂鳴器和無(wú)源蜂鳴器的外觀(guān)如圖6和圖7所示,有源和無(wú)源蜂鳴器的外觀(guān)。</p><p><b>  圖6 有源蜂鳴器</b></p

60、><p><b>  圖7 有源蜂鳴器</b></p><p>  從圖外觀(guān)上看,兩種蜂鳴器好像一樣,但仔細(xì)看,兩者的高度略有區(qū)別,有源蜂鳴器3.4,高度為9mm,而無(wú)源蜂鳴器3.5的高度為8mm。如將兩種蜂鳴器的引腳郡朝上放置時(shí),可以看出有綠色電路板的一種是無(wú)源蜂鳴器,沒(méi)有電路板而用黑膠封閉的一種是有源蜂鳴器。</p><p>  迸一步判斷有源

61、蜂鳴器和無(wú)源蜂鳴器,還可以用萬(wàn)用表電阻檔Rxl檔測(cè)試:用黑表筆接蜂鳴器 "+"引腳,紅表筆在另一引腳上來(lái)回碰觸,如果觸發(fā)出咔、咔聲的且電阻只有8Ω(或16Ω)的是無(wú)源蜂鳴器;如果能發(fā)出持續(xù)聲音的,且電阻在幾百歐以上的,是有源蜂鳴器。</p><p>  有源蜂鳴器直接接上額定電源(新的蜂鳴器在標(biāo)簽上都有注明)就可連續(xù)發(fā)聲;而無(wú)源蜂鳴器則和電磁揚(yáng)聲器一樣,需要接在音頻輸出電路中才能發(fā)聲。<

62、/p><p><b>  3.2紅外模塊</b></p><p>  紅外信號(hào)采集都是指從傳感器或者其他待測(cè)的設(shè)備等模擬被測(cè)單元或數(shù)字被測(cè)單元中自動(dòng)采集信息的一個(gè)過(guò)程。信號(hào)采集系統(tǒng)是結(jié)合計(jì)算機(jī)的測(cè)量軟硬件相關(guān)產(chǎn)品來(lái)實(shí)現(xiàn)靈活、用戶(hù)自定義的測(cè)量系統(tǒng)[4]。一個(gè)完備的數(shù)據(jù)采集系統(tǒng)應(yīng)該包括傳感器或變換器、信號(hào)調(diào)理設(shè)備、數(shù)據(jù)采集和分析硬件、驅(qū)動(dòng)程序和應(yīng)用軟件等等。本系統(tǒng)中被檢測(cè)的信

63、號(hào)為模擬量,要經(jīng)過(guò)BISS0001轉(zhuǎn)換成數(shù)字量,才能實(shí)現(xiàn)單片機(jī)的控制。數(shù)據(jù)采集模塊是將人體紅外的傳感器信號(hào),經(jīng)過(guò)BISS0001送給單片機(jī)進(jìn)行處理,終端單片機(jī)判斷處理并作時(shí)間數(shù)碼顯示及蜂鳴器報(bào)警。在數(shù)據(jù)采集模塊部分,本系統(tǒng)采用STC89C52單片機(jī)作為前端警情采集中心控制單元,主要完成對(duì)所采集數(shù)據(jù)的處理。下面介紹采集模塊各芯片的選型。</p><p>  3.2.1 RE200B熱釋紅外傳感器結(jié)構(gòu)圖及工作原理&l

64、t;/p><p>  RE200B熱釋電紅外傳感器[5]的通常由熱釋電晶體、氧化膜、濾光鏡片、結(jié)型場(chǎng)效應(yīng)管FET和電阻等部分組成。熱釋電晶體一般采用PZT或其他壓電晶體材料,將敏感材料PZT的上、下表面做成電極,并在其上表面上加1層黑色氧化膜,以提高轉(zhuǎn)換效率。在管殼頂端裝有濾光鏡片,它可以阻止不需要的紅外線(xiàn)或其他光線(xiàn)進(jìn)入傳感器,其結(jié)構(gòu)如圖8所示。</p><p>  紅外傳感器工作原理與紅外線(xiàn)

65、有關(guān),它是利用紅外線(xiàn)的物理性質(zhì)來(lái)進(jìn)行測(cè)量的傳感器,熱釋電紅外傳感器內(nèi)部的熱釋電晶體具有極化現(xiàn)象,并且隨溫度的變化而變化。這種傳感器對(duì)于不同波長(zhǎng)的光線(xiàn)照射都能產(chǎn)生不同程度的響應(yīng),因此在傳感器前會(huì)加入一個(gè)濾鏡窗口,這就是為什么我們能看到的RE200B的to5封裝形式中有一個(gè)像玻璃似的小窗。當(dāng)然通過(guò)這個(gè)濾鏡可以限定晶體對(duì)特定波長(zhǎng)的光線(xiàn)產(chǎn)生響應(yīng),一般在8um~14um,這樣就非常接近人體輻射的紅外線(xiàn)波長(zhǎng)了。當(dāng)恒定的紅外輻射照射在探測(cè)器上時(shí),熱釋

66、電晶體溫度不變,晶體對(duì)外呈電中性,探測(cè)器沒(méi)有電信號(hào)輸出,因而恒定的紅外輻射不能被檢測(cè)到。當(dāng)交變的紅外線(xiàn)照射到晶體表面時(shí),晶體溫度迅速變化,這時(shí)才發(fā)生電荷的變化,從而形成一個(gè)明顯的外電場(chǎng),這種現(xiàn)象稱(chēng)為熱釋電效應(yīng)。由于熱釋電晶體輸出的是電荷信號(hào),不能直接使用,需要用電阻將其轉(zhuǎn)換為電壓形式,該電阻阻抗高達(dá)104兆,故引入N溝道結(jié)型場(chǎng)效應(yīng)管接成共漏形式(即源極跟隨器)來(lái)完成阻抗變換。熱釋電紅外傳感器,其內(nèi)部結(jié)構(gòu)示意圖如圖9所示。該傳感器將兩個(gè)特

67、性相同的熱釋電晶體逆向串聯(lián),用來(lái)防止其他紅外光引起傳感器誤動(dòng)作。另外,當(dāng)環(huán)境溫度改變時(shí),兩個(gè)晶</p><p>  圖8 紅外傳感器結(jié)構(gòu)圖 圖9 熱釋電紅外傳感器內(nèi)部結(jié)構(gòu)示意圖</p><p>  3.2.2熱釋電紅外傳感器RE200B處理芯片BISS0001</p><p>  RE200B紅外熱釋電處理芯片BIS

68、S0001,BISS0001是一款具有較高性能的傳感信號(hào)處理集成電路,它配以熱釋電紅外傳感器和少量外接元器件構(gòu)成被動(dòng)式的熱釋電紅外開(kāi)關(guān)。它能自動(dòng)快速開(kāi)啟各類(lèi)白熾燈、熒光燈、蜂鳴器、自動(dòng)門(mén)、電風(fēng)扇、烘干機(jī)和自動(dòng)洗手池等裝置,特別適用于企業(yè)、賓館、商場(chǎng)、庫(kù)房及家庭的過(guò)道走廊等敏感區(qū)域,或用于安全區(qū)域的自動(dòng)燈光、照明和報(bào)警系統(tǒng)[6]。該處理芯片具有CMOS工藝,數(shù)?;旌希哂歇?dú)立的高輸入阻抗運(yùn)算放大器;內(nèi)部的雙向鑒幅器可有效抑制干擾,內(nèi)設(shè)延遲

69、時(shí)間定時(shí)器和封鎖時(shí)間定時(shí)器,采用16腳DIP封裝等特點(diǎn)。紅外熱釋電處理芯片BISS0001[7]管腳如下圖10: </p><p>  圖10 BISS0001管腳圖</p><p>  BISS0001管腳說(shuō)明如下表8:</p><p><b>  表8 管腳說(shuō)明</b></p><p>  BISS0001是由運(yùn)算

70、放大器、電壓比較器、狀態(tài)控制器、延遲時(shí)間定時(shí)器以及封鎖時(shí)間定時(shí)器等構(gòu)成的數(shù)?;旌蠈?zhuān)用集成電路。</p><p>  3.3 單片機(jī)最小系統(tǒng) </p><p>  最小系統(tǒng)是指能進(jìn)行正常工作的最簡(jiǎn)單電路。STC89C52最小應(yīng)用系統(tǒng)電路如圖11所示。它包含五個(gè)電路部分:電源電路、時(shí)鐘電路、復(fù)位電路、片內(nèi)外程序存儲(chǔ)器選擇電路、輸入/輸出接口電路。其中電源電路、時(shí)鐘電路、復(fù)位電路是保證單片機(jī)系

71、統(tǒng)能夠正常工作的最基本的三部分電路,缺一不可。</p><p>  圖11 單片機(jī)最小系統(tǒng)</p><p>  下面簡(jiǎn)單介紹下單片機(jī)最小系統(tǒng)的三個(gè)主要部分:</p><p>  ①電源電路 芯片引腳VCC一般接上直流穩(wěn)壓電源+5V,引腳GND接電源+5V的負(fù)極,電源電壓范圍在4~5.5之間,可保證單片機(jī)系統(tǒng)能正常工作。為了確定單片機(jī)是否供上電,在VCC和地之間連

72、接了一個(gè)發(fā)光二極管和1K的電阻。</p><p>  ②時(shí)鐘電路 單片機(jī)引腳18和引腳19外接晶振及電容, STC89C52芯片的工作頻率可在2~33MHz范圍之間選,單片機(jī)工作頻率取決于晶振XT的頻率,通常選用11.0592MHz晶振。兩個(gè)小電容通常取值30pF,以保證振蕩器電路的穩(wěn)定性及快速性。</p><p> ?、蹚?fù)位電路 一般若在引腳RST上保持24個(gè)工作主頻周期的高電平,

73、單片機(jī)就可以完成復(fù)位,但為了保證系統(tǒng)可靠地復(fù)位,復(fù)位電路應(yīng)使引腳RST保持10ms以上的高電平。如圖復(fù)位電路帶有上電自動(dòng)復(fù)位功能,當(dāng)電路上電時(shí),由于C1電容兩端電壓值不能突變,電源+5V會(huì)通過(guò)電容向RST提供充電電流,因此在RST引腳上產(chǎn)生一高電平,使單片機(jī)進(jìn)入復(fù)位狀態(tài)。隨著電容C1充電,它兩端電壓上升使得RST電位下降,最終使單片機(jī)退出復(fù)位狀態(tài)。正常運(yùn)行時(shí),可按復(fù)位按鈕對(duì)單片機(jī)復(fù)位</p><p><b&

74、gt;  3.4 報(bào)警電路</b></p><p>  圖12 報(bào)警電路系統(tǒng)</p><p>  報(bào)警電路采用蜂鳴器作為報(bào)警元器件,三極管作為驅(qū)動(dòng)元件,如圖12所示為報(bào)警電路的驅(qū)動(dòng)系統(tǒng)</p><p>  3.5 按鍵觸發(fā)信號(hào)</p><p>  圖13 按鍵觸發(fā)電路</p><p>  如圖13所

75、示,通過(guò)4個(gè)按鍵, 進(jìn)行觸發(fā), S3是設(shè)定溫度加按鈕,S4是設(shè)定溫度減按鈕, S5是加熱裝置開(kāi)始按鈕,當(dāng)按下此按鍵時(shí),加熱繼電器會(huì)吸合,模擬加熱電路導(dǎo)通,此時(shí),通過(guò)手握住傳感器或打火機(jī)加熱溫度傳感器,使其溫度超過(guò)設(shè)定的溫度,此時(shí)加熱繼電器斷開(kāi),停止加熱,蜂鳴器報(bào)警提示人,加熱完成。等待有人到來(lái),當(dāng)有人到來(lái)時(shí),水閥繼電器打開(kāi),指示燈點(diǎn)亮,人走后,為了節(jié)約水資源,水閥繼電器關(guān)閉,指示燈滅掉。</p><p>  3.

76、6 顯示系統(tǒng)設(shè)計(jì)</p><p>  圖14 LCD1602液晶顯示電路系統(tǒng)</p><p>  本設(shè)計(jì)采用了LCD1602液晶作為顯示的器件,R5的可調(diào)電阻可以調(diào)節(jié)液晶顯示文字的亮度。</p><p>  3.7 溫度采集系統(tǒng)設(shè)計(jì)</p><p>  圖15 溫度傳感器電路系統(tǒng)</p><p>  傳感器數(shù)據(jù)

77、采集電路主要指DS18B20溫度傳感器與單片機(jī)的接口電路。DS18B20可以采用兩種方式供電,一種是采用電源供電方式,如圖15所示,此時(shí)DS18B20的1腳接地,2腳作為信號(hào)線(xiàn),3腳接電源。另一種是寄生電源供電方式,單片機(jī)端口接單線(xiàn)總線(xiàn),為保證在有效的DS18B20時(shí)鐘周期內(nèi)提供足夠的電流,可用一個(gè)MOSFET管來(lái)完成對(duì)總線(xiàn)的上拉。當(dāng)DS18B20處于寫(xiě)存儲(chǔ)器操作和溫度A/D轉(zhuǎn)換操作時(shí),總線(xiàn)上必須有強(qiáng)的上拉,上拉開(kāi)啟時(shí)間最大為10us。

78、采用寄生電源供電方式時(shí)VDD端接地。由于單線(xiàn)制只有一根線(xiàn),因此發(fā)送接口必須是三態(tài)的??紤]到實(shí)際應(yīng)用中寄生電源供電方式適應(yīng)能力差且易損壞,此處采用電源供電方式,I/O口接單片機(jī)的P2.5口。這就組成了測(cè)溫電路。</p><p>  3.8 指示電路系統(tǒng)設(shè)計(jì)</p><p>  圖16 指示電路系統(tǒng)</p><p>  此電路是當(dāng)加熱器把水加熱到指定溫度后,人來(lái)洗澡時(shí)

79、自動(dòng)亮起,人走后自動(dòng)關(guān)閉,次led燈通過(guò)P2.4口進(jìn)行控制,當(dāng)為低電平時(shí),led點(diǎn)亮。</p><p>  3.9 繼電器電路系統(tǒng)設(shè)計(jì)</p><p>  圖17 加熱繼電器電路</p><p>  圖18 水閥繼電器電路</p><p>  圖17、圖18為繼電器電路,分別模擬加熱和水閥打開(kāi),當(dāng)繼電器開(kāi)和或關(guān)閉時(shí),可以聽(tīng)到繼電器觸電吸合的

80、聲音。為了防止干擾,采用光耦隔離的方式進(jìn)行控制,因?yàn)槔^電器關(guān)閉時(shí)會(huì)有感應(yīng)電流,干擾電路。為了防止對(duì)控制電路的干擾,進(jìn)行了光耦隔離,繼電器部分采用獨(dú)立的供電電源。光耦隔離采用PC123芯片。</p><p>  3.10 紅外感應(yīng)電路系統(tǒng)設(shè)計(jì)</p><p>  圖19 人體紅外感應(yīng)電爐電路</p><p>  人體紅外感應(yīng)電路如圖19所示,紅外傳感器P2(RE20

81、0B)接收到人體紅外信號(hào)經(jīng)BISS0001處理后輸出高電平,把高電平送到三極管驅(qū)動(dòng)電路,使電路導(dǎo)通,此時(shí)將低電平送到P1.0口,STC89C52單片機(jī)進(jìn)行數(shù)據(jù)處理。通過(guò)對(duì)P1.0電平的判斷,實(shí)現(xiàn)對(duì)單片機(jī)外圍電路的控制,如電磁水閥電路,led發(fā)光二極管的控制。 </p><p><b>  系統(tǒng)軟件設(shè)計(jì)</b></p><p>  本次軟件開(kāi)發(fā)是基于windows平臺(tái)下

82、開(kāi)發(fā)的,主要通過(guò)c語(yǔ)言為主要編程語(yǔ)言,使用的軟件是Keil編程軟件,電路的繪制使用AD6.9軟件進(jìn)行設(shè)計(jì)。主要的燒錄器使用STC的USB轉(zhuǎn)串口燒錄器STC_ISP_V486。</p><p><b>  4.1流程圖</b></p><p><b>  圖20 流程圖</b></p><p><b>  4.2各

83、部分程序</b></p><p>  #include<reg51.h></p><p>  #define uchar unsigned char</p><p>  #define uint unsigned int</p><p>  sbit DQ=P2^5;//ds18b20與單片機(jī)連接口</p>

84、<p>  sbit feng=P2^3;</p><p>  sbit deng=P2^4;</p><p>  sbit RS=P2^2;</p><p>  sbit RW=P2^1;</p><p>  sbit EN=P2^0;</p><p>  sbit jia=P1^2;</p>

85、;<p>  sbit jian=P1^1;</p><p>  sbit wjian=P1^3;</p><p>  sbit reji=P2^6;</p><p>  sbit shuiji=P2^7;</p><p>  sbit cgq=P1^0;</p><p>  unsigned char

86、code str1[]={" T:"};</p><p>  unsigned char code str2[]={" ST: "};</p><p>  uchar data disdata[5];</p><p>  uint tvalue,ST=20,flag1=0;//溫度值</p&g

87、t;<p>  uchar tflag;//溫度正負(fù)標(biāo)志</p><p>  /*************************lcd1602程序**************************/</p><p>  void delay1ms(unsigned int ms)//延時(shí)1毫秒(不夠精確的)</p><p>  {unsigned

88、int i,j;</p><p>  for(i=0;i<ms;i++)</p><p>  for(j=0;j<100;j++);</p><p><b>  }</b></p><p>  void wr_com(unsigned char com)//寫(xiě)指令//</p><p>

89、  { delay1ms(1);</p><p><b>  RS=0;</b></p><p><b>  RW=0;</b></p><p><b>  EN=0;</b></p><p><b>  P0=com;</b></p>&l

90、t;p>  delay1ms(1);</p><p><b>  EN=1;</b></p><p>  delay1ms(1);</p><p><b>  EN=0;</b></p><p><b>  }</b></p><p>  void

91、 wr_dat(unsigned char dat)//寫(xiě)數(shù)據(jù)//</p><p>  { delay1ms(1);;</p><p><b>  RS=1;</b></p><p><b>  RW=0;</b></p><p><b>  EN=0;</b></p&g

92、t;<p><b>  P0=dat;</b></p><p>  delay1ms(1);</p><p><b>  EN=1;</b></p><p>  delay1ms(1);</p><p><b>  EN=0;</b></p><

93、;p><b>  }</b></p><p>  void lcd_init()//初始化設(shè)置//</p><p>  {delay1ms(15);</p><p>  wr_com(0x38);delay1ms(5);</p><p>  wr_com(0x08);delay1ms(5);</p>

94、<p>  wr_com(0x01);delay1ms(5);</p><p>  wr_com(0x06);delay1ms(5);</p><p>  wr_com(0x0c);delay1ms(5);</p><p><b>  }</b></p><p>  void display(unsigned

95、char *p)//顯示//</p><p><b>  {</b></p><p>  while(*p!='\0')</p><p><b>  {</b></p><p>  wr_dat(*p);</p><p><b>  p++;<

96、/b></p><p>  delay1ms(1);</p><p><b>  }</b></p><p><b>  }</b></p><p>  init_play()//初始化顯示</p><p>  { lcd_init();</p><

97、p>  wr_com(0x80);</p><p>  display(str1);</p><p>  wr_com(0xc0);</p><p>  display(str2);</p><p><b>  }</b></p><p>  /***********************

98、*******ds1820程序**********************************/</p><p>  void delay_18B20(unsigned int i)//延時(shí)1微秒</p><p><b>  {</b></p><p>  while(i--);</p><p><b> 

99、 }</b></p><p>  void ds1820rst()/*ds1820復(fù)位*/</p><p>  { unsigned char x=0;</p><p>  DQ = 1; //DQ復(fù)位</p><p>  delay_18B20(4); //延時(shí)</p><p>  DQ

100、 = 0; //DQ拉低</p><p>  delay_18B20(100); //精確延時(shí)大于480us</p><p>  DQ = 1; //拉高</p><p>  delay_18B20(40); </p><p><b>  } </b></p><p

101、>  uchar ds1820rd()/*讀數(shù)據(jù)*/</p><p>  { unsigned char i=0;</p><p>  unsigned char dat = 0;</p><p>  for (i=8;i>0;i--)</p><p>  { DQ = 0; //給脈沖信號(hào)</p><p&

102、gt;<b>  dat>>=1;</b></p><p>  DQ = 1; //給脈沖信號(hào)</p><p><b>  if(DQ)</b></p><p>  dat|=0x80;</p><p>  delay_18B20(10);</p><p><

103、;b>  }</b></p><p>  return(dat);</p><p><b>  }</b></p><p>  void ds1820wr(uchar wdata)/*寫(xiě)數(shù)據(jù)*/</p><p>  {unsigned char i=0;</p><p>  fo

104、r (i=8; i>0; i--)</p><p><b>  { DQ = 0;</b></p><p>  DQ = wdata&0x01;</p><p>  delay_18B20(10);</p><p><b>  DQ = 1;</b></p><p&

105、gt;  wdata>>=1;</p><p><b>  }</b></p><p><b>  }</b></p><p>  read_temp()/*讀取溫度值并轉(zhuǎn)換*/</p><p>  {uchar a,b;</p><p>  ds1820rst(

106、); </p><p>  ds1820wr(0xcc);//*跳過(guò)讀序列號(hào)*/</p><p>  ds1820wr(0x44);//*啟動(dòng)溫度轉(zhuǎn)換*/</p><p>  ds1820rst(); </p><p>  ds1820wr(0xcc);//*跳過(guò)讀序列號(hào)*/ </p><p>  ds18

107、20wr(0xbe);//*讀取溫度*/ </p><p>  a=ds1820rd();</p><p>  b=ds1820rd();</p><p><b>  tvalue=b;</b></p><p>  tvalue<<=8;</p><p>  tvalue=tvalue

108、|a;</p><p>  if(tvalue<0x0fff)</p><p><b>  tflag=0;</b></p><p><b>  else</b></p><p>  {tvalue=~tvalue+1;</p><p><b>  tflag

109、=1;</b></p><p><b>  }</b></p><p>  tvalue=tvalue*(0.625);//溫度值擴(kuò)大10倍,精確到1位小數(shù)</p><p>  return(tvalue);</p><p><b>  }</b></p><p>

110、;  void ds1820disp()//溫度值顯示</p><p>  { uchar flagdat;</p><p>  disdata[0]=tvalue/1000+0x30;//百位數(shù)</p><p>  disdata[1]=tvalue%1000/100+0x30;//十位數(shù)</p><p>  disdata[2]=tval

111、ue%100/10+0x30;//個(gè)位數(shù)</p><p>  disdata[3]=tvalue%10+0x30;//小數(shù)位</p><p>  if(tflag==0)</p><p>  flagdat=0x20;//正溫度不顯示符號(hào)</p><p><b>  else</b></p><p&g

112、t;  flagdat=0x2d;//負(fù)溫度顯示負(fù)號(hào):-</p><p>  if(disdata[0]==0x30)</p><p>  {disdata[0]=0x20;//如果百位為0,不顯示</p><p>  if(disdata[1]==0x30)</p><p>  {disdata[1]=0x20;//如果百位為0,十位為0也

113、不顯示</p><p><b>  }</b></p><p><b>  }</b></p><p>  wr_com(0x85);</p><p>  wr_dat(flagdat);//顯示符號(hào)位</p><p>  wr_com(0x86);</p>&

114、lt;p>  wr_dat(disdata[0]);//顯示百位</p><p>  wr_com(0x87);</p><p>  wr_dat(disdata[1]);//顯示十位 </p><p>  wr_com(0x88);</p><p>  wr_dat(disdata[2]);//顯示個(gè)位 </p><

115、;p>  wr_com(0x89);</p><p>  wr_dat(0x2e);//顯示小數(shù)點(diǎn) </p><p>  wr_com(0x8a);</p><p>  wr_dat(disdata[3]);//顯示小數(shù)位</p><p><b>  }</b></p><p>  void

116、 STdisp(int shu)</p><p><b>  {</b></p><p>  int shi,ge;</p><p>  shi=shu/10;</p><p>  ge=shu%10;</p><p>  wr_com(0xc7);</p><p>  w

117、r_dat(0x30+shi);</p><p>  wr_dat(0x30+ge);</p><p><b>  }</b></p><p>  STsheding()</p><p>  { if(jia==0)

118、 </p><p><b>  {</b></p><p>  delay1ms(22); </p><p>  if(jia==0)

119、 </p><p><b>  {</b></p><p>  delay1ms(100);</p><p><b>  ST=ST+1;</b></p><p>  while(!jia);

120、 </p><p><b>  }</b></p><p>  else{;} </p><p><b>  }</b></p><p>  if(jian==0)

121、 </p><p><b>  {</b></p><p>  delay1ms(22); </p><p>  if(jian==0)

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論