2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩37頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p><b>  超聲波測(cè)距離的設(shè)計(jì)</b></p><p>  系 (部):計(jì)算機(jī)與電子系</p><p>  專 業(yè) 班:電子科學(xué)與技術(shù)</p><p><b>  姓 名: </b></p><p><b>  指導(dǎo)教師: </b></p>

2、<p>  2010 年 月 日</p><p><b>  超聲波測(cè)距儀的設(shè)計(jì)</b></p><p>  The design of a Silent Wave</p><p>  Measure Distance</p><p><b>  摘 要</b></p>

3、<p>  隨著科技的發(fā)展,人們生活水平的提高,城市發(fā)展建設(shè)加快,城市給排水系統(tǒng)也有較大發(fā)展,其狀況不斷改善。但是,由于歷史原因合成時(shí)間住的許多不可預(yù)見因素,城市給排水系統(tǒng),特別是排水系統(tǒng)往往落后于城市建設(shè)。因此,經(jīng)常出現(xiàn)開挖已經(jīng)建設(shè)好的建筑設(shè)施來改造排水系統(tǒng)的現(xiàn)象。城市污水給人們帶來了困擾,因此箱涵的排污疏通對(duì)大城市給排水系統(tǒng)污水處理,人們生活舒適顯得非常重要。而設(shè)計(jì)研制箱涵排水疏通移動(dòng)機(jī)器人的自動(dòng)控制系統(tǒng),保證機(jī)器人在

4、箱涵中自由排污疏通,是箱涵排污疏通機(jī)器人的設(shè)計(jì)研制的核心部分??刂葡到y(tǒng)核心部分就是超聲波測(cè)距儀的研制。因此,設(shè)計(jì)好的超聲波測(cè)距儀就顯得非常重要了。</p><p>  本設(shè)計(jì)采用以AT89C51單片機(jī)為核心的低成本、高精度、微型化數(shù)字顯示超聲波測(cè)距儀的硬件電路和軟件設(shè)計(jì)方法。整個(gè)電路采用模塊化設(shè)計(jì),由主程序、預(yù)置子程序、發(fā)射子程序、接收子程序、顯示子程序等模塊組成。各探頭的信號(hào)經(jīng)單片機(jī)綜合分析處理,實(shí)現(xiàn)超聲波測(cè)距

5、儀的各種功能。在此基礎(chǔ)上設(shè)計(jì)了系統(tǒng)的總體方案,最后通過硬件和軟件實(shí)現(xiàn)了各個(gè)功能模塊。相關(guān)部分附有硬件電路圖、程序流程圖。</p><p>  經(jīng)實(shí)驗(yàn)證明,這套系統(tǒng)軟硬件設(shè)計(jì)合理、抗干擾能力強(qiáng)、實(shí)時(shí)性良好,經(jīng)過系統(tǒng)擴(kuò)展和升級(jí),可以有效地解決汽車倒車、建筑施工工地以及一些工業(yè)現(xiàn)場(chǎng)的位置監(jiān)控。</p><p>  關(guān)鍵詞:AT89c51; 超聲波;測(cè)距</p><p>&

6、lt;b>  Abstract</b></p><p>  With the development of science and technology, the improvement of people's standard of living, speeding up the development and construction of the city. urban drain

7、age system have greatly developed their situation is constantly improving. However, due to historical reasons many unpredictable factors in the synthesis of her time, the city drainage system. In particular drainage syst

8、em often lags behind urban construction. Therefore, there are often good building excavation has been building faci</p><p>  At the core of the design using AT89C51 low-cost, high accuracy, Micro figures sho

9、w that the ultrasonic range finder hardware and software design methods. Modular design of the whole circuit from the main program, pre subroutine fired subroutine receive subroutine. display subroutine modules form. SCM

10、 comprehensive analysis of the probe signal processing, and the ultrasonic range finder function. On the basis of the overall system design, hardware and software by the end of each module. </p><p>  The res

11、earch has led to the discovery that the software and hardware designing is justified, the anti-disturbance competence is powerful and the real-time capability is satisfactory and by extension and upgrade, this system can

12、 resolve the problem of the car availably, building construction the position of the workplace and some industries spot supervision.</p><p>  Key words:AT89S52; Silent Wave;Measure Distance </p><p

13、><b>  目 錄</b></p><p>  摘 要···························&#

14、183;·······························I </p><p>  Abstr

15、act····································&

16、#183;·····················II </p><p>  緒論··········&

17、#183;····································

18、;············1</p><p>  I.1 課題設(shè)計(jì)的目的及其意義·················

19、3;···············1</p><p>  I.2 超聲波測(cè)距儀的設(shè)計(jì)思路··············

20、83;··················1</p><p>  I.3 課題設(shè)計(jì)的任務(wù)和要求···········

21、83;·······················2</p><p>  1 課題的方案設(shè)計(jì)與論證·······

22、····································3<

23、;/p><p>  1.1 系統(tǒng)整體方案的設(shè)計(jì)·······························

24、;······3</p><p>  1.2 系統(tǒng)整體方案的論證························

25、·············3</p><p>  2 系統(tǒng)的硬件結(jié)構(gòu)設(shè)計(jì)·················

26、3;···························4</p><p>  2.1 單片機(jī)的功能特點(diǎn)及測(cè)距原理··&

27、#183;··························4</p><p>  2.2 超聲波發(fā)射電路···

28、3;····································&#

29、183;6</p><p>  2.3 超聲波檢測(cè)接收電路·····························

30、83;·······7</p><p>  2.4 超聲波測(cè)距系統(tǒng)的硬件電路設(shè)計(jì)······················

31、;·····7</p><p>  3 系統(tǒng)軟件的設(shè)計(jì)··························

32、;·······················9</p><p>  3.1 超聲波測(cè)距儀的算法設(shè)計(jì)······

33、3;··························9</p><p>  3.2 主程序流程圖····&#

34、183;····································

35、··10</p><p>  3.3 超聲波發(fā)生子程序與超聲波接受中斷程序···················11</p><p>  3.4 系統(tǒng)的軟硬件的調(diào)試·

36、;····································12&

37、lt;/p><p>  總 結(jié)································&#

38、183;···························13</p><p>  致 謝····&

39、#183;····································

40、;···················15</p><p>  參考文獻(xiàn)············

41、3;····································&#

42、183;········16</p><p>  附 錄 一 超聲波測(cè)距電路原理圖·····················

43、;·············18</p><p>  附 錄 二 超聲波測(cè)距電路版圖················

44、83;···················19</p><p>  附 錄 三 程序清單···········

45、····································

46、3;20</p><p><b>  緒 論</b></p><p>  Ⅰ.1課題設(shè)計(jì)目的及意義</p><p> ?、?1.1設(shè)計(jì)的目的</p><p>  隨著科學(xué)技術(shù)的快速發(fā)展,超聲波將在測(cè)距儀中的應(yīng)用越來越廣。但就目</p><p>  前技術(shù)水平來說,人們可以具體利用的測(cè)距技術(shù)還十分有

47、限,因此,這是一個(gè)正在蓬勃發(fā)展而又有無限前景的技術(shù)及產(chǎn)業(yè)領(lǐng)域。展望未來,超聲波測(cè)距儀作為一種新型的非常重要有用的工具在各方面都將有很大的發(fā)展空間,它將朝著更加高定位高精度的方向發(fā)展,以滿足日益發(fā)展的社會(huì)需求,如聲納的發(fā)展趨勢(shì)基本為:研制具有更高定位精度的被動(dòng)測(cè)距聲納,以滿足水中武器實(shí)施全隱蔽攻擊的需要;繼續(xù)發(fā)展采用低頻線譜檢測(cè)的潛艇拖曳線列陣聲納,實(shí)現(xiàn)超遠(yuǎn)程的被動(dòng)探測(cè)和識(shí)別;研制更適合于淺海工作的潛艇聲納,特別是解決淺海水中目標(biāo)識(shí)別問題

48、;大力降低潛艇自噪聲,改善潛艇聲納的工作環(huán)境。無庸置疑,未來的超聲波測(cè)距儀將與自動(dòng)化智能化接軌,與其他的測(cè)距儀集成和融合,形成多測(cè)距儀。隨著測(cè)距儀的技術(shù)進(jìn)步,測(cè)距儀將從具有單純判斷功能發(fā)展到具有學(xué)習(xí)功能,最終發(fā)展到具有創(chuàng)造力。在新的世紀(jì)里,面貌一新的測(cè)距儀將發(fā)揮更大的作用。</p><p> ?、?1.2設(shè)計(jì)的意義</p><p>  隨著科技的發(fā)展,人們生活水平的提高,城市發(fā)展建設(shè)加快,

49、城市給排水系統(tǒng)也有較大發(fā)展,其狀況不斷改善。但是,由于歷史原因合成時(shí)間住的許多不可預(yù)見因素,城市給排水系統(tǒng),特別是排水系統(tǒng)往往落后于城市建設(shè)。因此,經(jīng)常出現(xiàn)開挖已經(jīng)建設(shè)好的建筑設(shè)施來改造排水系統(tǒng)的現(xiàn)象。城市污水給人們帶來了困擾,因此箱涵的排污疏通對(duì)大城市給排水系統(tǒng)污水處理,人們生活舒適顯得非常重要。而設(shè)計(jì)研制箱涵排水疏通移動(dòng)機(jī)器人的自動(dòng)控制系統(tǒng),保證機(jī)器人在箱涵中自由排污疏通,是箱涵排污疏通機(jī)器人的設(shè)計(jì)研制的核心部分??刂葡到y(tǒng)核心部分就

50、是超聲波測(cè)距儀的研制。因此,設(shè)計(jì)好的超聲波測(cè)距儀就顯得非常重要了。這就是我設(shè)計(jì)超聲波測(cè)距儀的意義。</p><p>  Ⅰ.2超聲波測(cè)距儀的設(shè)計(jì)思路</p><p> ?、?2.1超聲波測(cè)距原理[1,2]</p><p>  發(fā)射器發(fā)出的超聲波以速度υ在空氣中傳播,在到達(dá)被測(cè)物體時(shí)被反射返回,由接收器接收,其往返時(shí)間為t,由s=vt/2即可算出被測(cè)物體的距離。由于超

51、聲波也是一種聲波,其聲速v與溫度有關(guān),下表列出了幾種不同溫度下的聲速。在使用時(shí),如果溫度變化不大,則可認(rèn)為聲速是基本不變的。如果測(cè)距精度要求很高,則應(yīng)通過溫度補(bǔ)償?shù)姆椒右孕U?lt;/p><p>  表1-1 超聲波波速與溫度的關(guān)系表</p><p> ?、?2.2 超聲波測(cè)距儀原理框圖如下圖</p><p>  單片機(jī)發(fā)出40kHZ的信號(hào),經(jīng)放大后通過超聲波發(fā)射器

52、輸出;超聲波接收器將接收到的超聲波信號(hào)經(jīng)放大器放大,用鎖相環(huán)電路進(jìn)行檢波處理后,啟動(dòng)單片機(jī)中斷程序,測(cè)得時(shí)間為t,再由軟件進(jìn)行判別、計(jì)算,得出距離數(shù)并送LED顯示。</p><p>  圖1-1 超聲波測(cè)距儀原理框圖</p><p> ?、?3課題設(shè)計(jì)的任務(wù)和要求</p><p>  設(shè)計(jì)一超聲波測(cè)距儀,任務(wù):</p><p>  (1).了

53、解超聲波測(cè)距原理。</p><p>  (2).根據(jù)超聲波測(cè)距原理,設(shè)計(jì)超聲波測(cè)距器的硬件結(jié)構(gòu)電路。</p><p>  設(shè)計(jì)一超聲波測(cè)距儀,要求:</p><p>  (1).設(shè)計(jì)出超聲波測(cè)距儀的硬件結(jié)構(gòu)電路。</p><p>  (2).對(duì)設(shè)計(jì)的電路進(jìn)行分析能夠產(chǎn)生超聲波,實(shí)現(xiàn)超聲波的發(fā)送與接收,從而實(shí)現(xiàn)利用超聲波方法測(cè)量物體間的距離。&

54、lt;/p><p>  (3).對(duì)設(shè)計(jì)的電路進(jìn)行分析。</p><p>  (4).以數(shù)字的形式顯示測(cè)量距離。</p><p>  1 課程的方案設(shè)計(jì)與論證</p><p>  1.1系統(tǒng)整體方案的設(shè)計(jì)</p><p>  由于超聲波指向性強(qiáng),能量消耗緩慢,在介質(zhì)中傳播的距離較遠(yuǎn),因而超聲波經(jīng)常用于距離的測(cè)量。利用超聲波

55、檢測(cè)距離,設(shè)計(jì)比較方便,計(jì)算處理也較簡(jiǎn)單,并且在測(cè)量精度方面也能達(dá)到農(nóng)業(yè)生產(chǎn)等自動(dòng)化的使用要求。      超聲波發(fā)生器可以分為兩大類:一類是用電氣方式產(chǎn)生超聲波,一類是用機(jī)械方式產(chǎn)生超聲波。電氣方式包括壓電型、電動(dòng)型等;機(jī)械方式有加爾統(tǒng)笛、液哨和氣流旋笛等。它們所產(chǎn)生的超聲波的頻率、功率、和聲波特性各不相同,因而用途也各不相同。目前在近距離測(cè)量方面常用的是壓電式超聲波換能器。根據(jù)設(shè)計(jì)

56、要求并綜合各方面因素,本文采用AT89C51單片機(jī)作為控制器,用動(dòng)態(tài)掃描法實(shí)現(xiàn)LED數(shù)字顯示,超聲波驅(qū)動(dòng)信號(hào)用單片機(jī)的定時(shí)器。 </p><p>  1.2系統(tǒng)整體方案的論證</p><p>  超聲波測(cè)距的原理是利用超聲波的發(fā)射和接受,根據(jù)超聲波傳播的時(shí)間來計(jì)算出傳播距離。實(shí)用的測(cè)距方法有兩種,一種是在被測(cè)距離的兩端,一端發(fā)射,另一端接收的直接波方式,適用于身高計(jì);一種是發(fā)射

57、波被物體反射回來后接收的反射波方式,適用于測(cè)距儀。此次設(shè)計(jì)采用反射波方式。 測(cè)距儀的分辨率取決于對(duì)超聲波傳感器的選擇。超聲波傳感器是一種采用壓電效應(yīng)的傳感器,常用的材料是壓電陶瓷。由于超聲波在空氣中傳播時(shí)會(huì)有相當(dāng)?shù)乃p,衰減的程度與頻率的高低成正比;而頻率高分辨率也高,故短距離測(cè)量時(shí)應(yīng)選擇頻率高的傳感器,而長(zhǎng)距離的測(cè)量時(shí)應(yīng)用低頻率的傳感器。</p><p>  2 系統(tǒng)的硬件結(jié)構(gòu)設(shè)計(jì)</p&g

58、t;<p>  硬件電路的設(shè)計(jì)主要包括單片機(jī)系統(tǒng)及顯示電路、超聲波發(fā)射電路和超聲波檢測(cè)接收電路三部分。單片機(jī)采用AT89C51或其兼容系列。采用12MHz高精度的晶振,以獲得較穩(wěn)定時(shí)鐘頻率,減小測(cè)量誤差。單片機(jī)用P1.0端口輸出超聲波換能器所需的40kHz的方波信號(hào),利用外中斷0口監(jiān)測(cè)超聲波接收電路輸出的返回信號(hào)。顯示電路采用簡(jiǎn)單實(shí)用的4位共陽LED數(shù)碼管,段碼用74LS244驅(qū)動(dòng),位碼用PNP三極管8550驅(qū)動(dòng)。<

59、/p><p>  2.1 51系列單片機(jī)的功能特點(diǎn)及測(cè)距原理[3~6]</p><p>  2.1.1 51系列單片機(jī)的功能特點(diǎn)</p><p>  5l系列單片機(jī)中典型芯片(AT89C51)采用40引腳雙列直插封裝(DIP)形式,內(nèi)部由CPU,4kB的ROM,256 B的RAM,2個(gè)16b的定時(shí)/計(jì)數(shù)器TO和T1,4個(gè)8 b的工/O端I:IP0,P1,P2,P3,一

60、個(gè)全雙功串行通信口等組成。特別是該系列單片機(jī)片內(nèi)的Flash可編程、可擦除只讀存儲(chǔ)器(E~PROM),使其在實(shí)際中有著十分廣泛的用途,在便攜式、省電及特殊信息保存的儀器和系統(tǒng)中更為有用。該系列單片機(jī)引腳與封裝如圖2-1所示。</p><p>  5l系列單片機(jī)提供以下功能:4 kB存儲(chǔ)器;256 BRAM;32條工/O線;2個(gè)16b定時(shí)/計(jì)數(shù)器;5個(gè)2級(jí)中斷源;1個(gè)全雙向的串行口以及時(shí)鐘電路。</p>

61、<p>  空閑方式:CPU停止工作,而讓RAM、定時(shí)/計(jì)數(shù)器、串行口和中斷系統(tǒng)繼續(xù)工作。</p><p>  掉電方式:保存RAM的內(nèi)容,振蕩器停振,禁止芯片所有的其他功能直到下一次硬件復(fù)位。</p><p>  5l系列單片機(jī)為許多控制提供了高度靈活和低成本的解決辦法。充分利用他的片內(nèi)資源,即可在較少外圍電路的情況下構(gòu)成功能完善的超聲波測(cè)距系統(tǒng)。</p>&

62、lt;p>  2.1.2 單片機(jī)實(shí)現(xiàn)測(cè)距原理 </p><p>  單片機(jī)發(fā)出超聲波測(cè)距是通過不斷檢測(cè)超聲波發(fā)射后遇到障礙物所反射的回波,從而測(cè)出發(fā)射和接收回波的時(shí)間差tr,然后求出距離S=Ct/2,式中的C為超聲波波速。</p><p>  限制該系統(tǒng)的最大可測(cè)距離存在4個(gè)因素:超聲波的幅度、反射的質(zhì)地、反射和入射聲波之間的夾角以及接收換能器的靈敏度。接收換能器對(duì)聲波脈沖的直接接收

63、能力將決定最小的可測(cè)距離。為了增加所測(cè)量的覆蓋范圍、減小測(cè)量誤差,可采用多個(gè)超聲波換能器分別作為多路超聲波發(fā)射/接收的設(shè)計(jì)方法。由于超聲波屬于聲波范圍,其波速C與溫度有關(guān)。</p><p>  2.2 超聲波發(fā)射電路[7]</p><p>  超聲波發(fā)射電路原理圖如圖2-2所示。發(fā)射電路主要由反相器74LS04和超聲波發(fā)射換能器T構(gòu)成,單片機(jī)P1.0端口輸出的40kHz的方波信號(hào)一路經(jīng)一級(jí)

64、反向器后送到超聲波換能器的一個(gè)電極,另一路經(jīng)兩級(jí)反向器后送到超聲波換能器的另一個(gè)電極,用這種推換形式將方波信號(hào)加到超聲波換能器的兩端,可以提高超聲波的發(fā)射強(qiáng)度。輸出端采兩個(gè)反向器并聯(lián),用以提高驅(qū)動(dòng)能力。上位電阻R1O、R11一方面可以提高反向器74LS04輸出高電平的驅(qū)動(dòng)能力,另一方面可以增加超聲波換能器的阻尼效果,縮短其自由振蕩時(shí)間。</p><p>  壓電式超聲波換能器是利用壓電晶體的諧振來工作的。超聲波換

65、能器內(nèi)部有兩個(gè)壓電晶片和一個(gè)換能板。當(dāng)它的兩極外加脈沖信號(hào),其頻率等于壓電晶片的固有振蕩頻率時(shí),壓電晶片會(huì)發(fā)生共振,并帶動(dòng)共振板振動(dòng)產(chǎn)生超聲波,這時(shí)它就是一個(gè)超聲波發(fā)生器;反之,如果兩電極問未外加電壓,當(dāng)共振板接收到超聲波時(shí),將壓迫壓電晶片作振動(dòng),將機(jī)械能轉(zhuǎn)換為電信號(hào),這時(shí)它就成為超聲波接收換能器。超聲波發(fā)射換能器與接收換能器在結(jié)構(gòu)上稍有不同,使用時(shí)應(yīng)分清器件上的標(biāo)志。</p><p>  2.3 超聲波檢測(cè)接收

66、電路[8,9]</p><p>  集成電路CX20106A是一款紅外線檢波接收的專用芯片,常用于電視機(jī)紅外遙控接收器??紤]到紅外遙控常用的載波頻率38 kHz與測(cè)距的超聲波頻率40 kHz較為接近,可以利用它制作超聲波檢測(cè)接收電路(如圖2-3)。實(shí)驗(yàn)證明用CX20106A接收超聲波(無信號(hào)時(shí)輸出高電平),具有很好的靈敏度和較強(qiáng)的抗干擾能力。適當(dāng)更改電容C4的大小,可以改變接收電路的靈敏度和抗干擾能力。</

67、p><p>  圖2-3 超聲波檢測(cè)接收電路</p><p>  2.4 超聲波測(cè)距系統(tǒng)的硬件電路設(shè)計(jì)</p><p>  本系統(tǒng)的特點(diǎn)是利用單片機(jī)控制超聲波的發(fā)射和對(duì)超聲波自發(fā)射至接收往返時(shí)間的計(jì)時(shí),單片機(jī)選用AT89C51,經(jīng)濟(jì)易用,且片內(nèi)有4K的ROM,便于編程。電路原理圖如圖2-4所示。其中只畫出前方測(cè)距電路的接線圖,左側(cè)和右側(cè)測(cè)距電路與前方測(cè)距電路相同,故省略

68、之。 </p><p>  圖2-4 超聲波測(cè)距電路原理圖</p><p>  3 系統(tǒng)軟件的設(shè)計(jì)</p><p>  超聲波測(cè)距儀的軟件設(shè)計(jì)主要由主程序、超聲波發(fā)生子程序、超聲波接收中斷程序及顯示子程序組成。我們知道C語言程序有利于實(shí)現(xiàn)較復(fù)雜的算法,匯編語言程序則具有較高的效率且容易精細(xì)計(jì)算程序運(yùn)行的時(shí)間,而超聲波測(cè)距儀的程序既有較復(fù)雜的計(jì)算(計(jì)算距離時(shí)),

69、又要求精細(xì)計(jì)算程序運(yùn)行時(shí)間(超聲波測(cè)距時(shí)),所以控制程序可采用C語言和匯編語言混合編程。 </p><p>  3.1 超聲波測(cè)距儀的算法設(shè)計(jì) [10]     超聲波測(cè)距的原理為超聲波發(fā)生器T在某一時(shí)刻發(fā)出一個(gè)超聲波信號(hào),當(dāng)這個(gè)超聲波遇到被測(cè)物體后反射回來,就被超聲波接收器R所接收到。這樣只要計(jì)算出從發(fā)出超聲波信號(hào)到接收到返回信號(hào)所用的時(shí)間,就可

70、算出超聲波發(fā)生器與反射物體的距離。距離的計(jì)算公式為:  d=s/2=(c×t)/2 (1)  其中,d為被測(cè)物與測(cè)距儀的距離,s為聲波的來回的路程,c為聲速,t為聲波來回所用的時(shí)間。 在啟動(dòng)發(fā)射電路的同時(shí)啟動(dòng)單片機(jī)內(nèi)部的定時(shí)器T0,利用定時(shí)器的計(jì)數(shù)功能記錄超聲波發(fā)射的時(shí)間和收到反射

71、波的時(shí)間。當(dāng)收到超聲波反射波時(shí),接收電路輸出端產(chǎn)生一個(gè)負(fù)跳變,在INT0或INT1端產(chǎn)生一個(gè)中斷請(qǐng)求信號(hào),單片機(jī)響應(yīng)外部中斷請(qǐng)求,執(zhí)行外部中斷服務(wù)子程序,讀取時(shí)間差,計(jì)算距離。其部分源程序如下: </p><p>  RECEIVE0:PUSH PSW </p><p><b>  PUSH ACC </b></p><p>  CLR EX0

72、 ;關(guān)外部中斷0 </p><p>  ? MOV R7, TH0 ;讀取時(shí)間值 </p><p>  MOV R6, TL0? </p><p><b>  CLR C </b></p><p>  MOV A, R6 </p><p>  SUBB A, #0BBH;計(jì)算時(shí)間差 </p&

73、gt;<p>  MOV 31H, A ;存儲(chǔ)結(jié)果 </p><p>  MOV A, R7 </p><p>  SUBB A, #3CH </p><p>  MOV 30H, A? </p><p>  SETB EX0 ;開外部中斷0 </p><p><b>  POP ACC? &l

74、t;/b></p><p><b>  POP PSW </b></p><p><b>  RETI </b></p><p>  3.2 主程序流程圖 </p><p>  軟件分為兩部分,主程序和中斷服務(wù)程序,如圖3-1(a)(b) (c) 所示。主程序完成初始化工作、各路超聲波發(fā)射和接

75、收順序的控制。 </p><p>  定時(shí)中斷服務(wù)子程序完成三方向超聲波的輪流發(fā)射,外部中斷服務(wù)子程序主要完成時(shí)間值的讀取、距離計(jì)算、結(jié)果的輸出等工作。</p><p>  主程序首先是對(duì)系統(tǒng)環(huán)境初始化,設(shè)置定時(shí)器T0工作模式為16位定時(shí)計(jì)數(shù)器模式。置位總中斷允許位EA并給顯示端口P0和P1清0。然后調(diào)用超聲波發(fā)生子程序送出一個(gè)超聲波脈沖,為了避免超聲波從發(fā)射器直接傳送到接收器引起的直射波

76、觸發(fā),需要延時(shí)約0.1 ms(這也就是超聲波測(cè)距儀會(huì)有一個(gè)最小可測(cè)距離的原因)后,才打開外中斷0接收返回的超聲波信號(hào)。由于采用的是12 MHz的晶 振,計(jì)數(shù)器每計(jì)一個(gè)數(shù)就是1μs,當(dāng)主程序檢測(cè)到接收成功的標(biāo)志位后,將計(jì)數(shù)器T0中的數(shù)(即超聲波來回所用的時(shí)間)按式(2)計(jì)算,即可得被測(cè)物體與測(cè)距儀之間的距離,設(shè)計(jì)時(shí)取20℃時(shí)的聲速為344 m/s則有:  d=(c×t)/2=172T0/10000cm

77、 (2) 其中,T0為計(jì)數(shù)器T0的計(jì)算值。      測(cè)出距離后結(jié)果將以十進(jìn)制BCD碼方式送往LED顯示約0.5s,然后再發(fā)超聲波脈沖重復(fù)測(cè)量過程。為了有利于程序結(jié)構(gòu)化和容易計(jì)算出距離,主程序采用C語言編寫。       &#

78、160;                      3.3超聲波發(fā)生子</p><p>  receive1:push psw </p><p><b>  push a

79、cc </b></p><p>  clr ex1 ;關(guān)外部中斷1 </p><p>  jnb p1.1, right ;P1.1引腳為0,轉(zhuǎn)至右測(cè)距電路中斷服務(wù)程序</p><p>  jnb p1.2, left ;P1.2引腳為0,轉(zhuǎn)至左測(cè)距電路中斷服務(wù)程序 </p><p>  return:SETB EX1;開外部中斷

80、1 </p><p><b>  pop? acc </b></p><p><b>  pop? psw </b></p><p><b>  reti </b></p><p>  right: ...? ;右測(cè)距電路中斷服務(wù)程序入口 </p><p&g

81、t;  ? ajmp? return </p><p>  left:... ;左測(cè)距電路中斷服務(wù)程序入口 </p><p>  ? ajmp? return </p><p>  3.4 系統(tǒng)的軟硬件的調(diào)試[11]</p><p>  超聲波測(cè)距儀的制作和調(diào)試都比較簡(jiǎn)單,其中超聲波發(fā)射和接收采用Φ15的超聲波換能器TCT40-10F1(T發(fā)

82、射)和TCT40-10S1(R接收),中心頻率為40kHz,安裝時(shí)應(yīng)保持兩換能器中心軸線平行并相距4~8cm,其余元件無特殊要求。若能將超聲波接收電路用金屬殼屏蔽起來,則可提高抗干擾能力。根據(jù)測(cè)量范圍要求不同,可適當(dāng)調(diào)整與接收換能器并接的濾波電容C0的大小,以獲得合適的接收靈敏度和抗干擾能力。      硬件電路制作完成并調(diào)試好后,便可將程序編譯好下載到單片機(jī)試運(yùn)行。根據(jù)實(shí)際情況

83、可以修改超聲波發(fā)生子程序每次發(fā)送的脈沖寬度和兩次測(cè)量的間隔時(shí)間,以適應(yīng)不同距離的測(cè)量需要。根據(jù)所設(shè)計(jì)的電路參數(shù)和程序,測(cè)距儀能測(cè)的范圍為0.07~5.5m,測(cè)距儀最大誤差不超過1cm。系統(tǒng)調(diào)試完后應(yīng)對(duì)測(cè)量誤差和重復(fù)一致性進(jìn)行多次實(shí)驗(yàn)分析,不斷優(yōu)化系統(tǒng)使其達(dá)到實(shí)際使用的測(cè)量要求。</p><p>  軟件的調(diào)試程序見附錄一</p><p><b>  總 結(jié)</b>&

84、lt;/p><p>  由于時(shí)間和其它客觀上的原因,此次設(shè)計(jì)沒有做出實(shí)物。但是對(duì)設(shè)計(jì)有一個(gè)很好的理論基礎(chǔ)。設(shè)計(jì)的最終結(jié)果是使超聲波測(cè)距儀能夠產(chǎn)生超聲波,實(shí)現(xiàn)超聲波的發(fā)送與接收,從而實(shí)現(xiàn)利用超聲波方法測(cè)量物體間的距離。以數(shù)字的形式顯示測(cè)量距離。</p><p>  超聲波測(cè)距的原理是利用超聲波的發(fā)射和接受,根據(jù)超聲波傳播的時(shí)間來計(jì)算出傳播距離。實(shí)用的測(cè)距方法有兩種,一種是在被測(cè)距離的兩端,一端發(fā)

85、射,另一端接收的直接波方式,適用于身高計(jì);一種是發(fā)射波被物體反射回來后接收的反射波方式,適用于測(cè)距儀。此次設(shè)計(jì)采用反射波方式。</p><p>  超聲波測(cè)距儀硬件電路的設(shè)計(jì)主要包括單片機(jī)系統(tǒng)及顯示電路、超聲波發(fā)射電路和超聲波檢測(cè)接收電路三部分。單片機(jī)采用AT89C51或其兼容系列。采用12MHz高精度的晶振,以獲得較穩(wěn)定時(shí)鐘頻率,減小測(cè)量誤差。單片機(jī)用P1.0端口輸出超聲波換能器所需的40kHz的方波信號(hào),利用

86、外中斷0口監(jiān)測(cè)超聲波接收電路輸出的返回信號(hào)。顯示電路采用簡(jiǎn)單實(shí)用的4位共陽LED數(shù)碼管,段碼用74LS244驅(qū)動(dòng),位碼用PNP三極管8550驅(qū)動(dòng)。</p><p>  超聲波發(fā)射電路主要由反相器74LS04和超聲波發(fā)射換能器T構(gòu)成,單片機(jī)P1.0端口輸出的40kHz的方波信號(hào)一路經(jīng)一級(jí)反向器后送到超聲波換能器的一個(gè)電極,另一路經(jīng)兩級(jí)反向器后送到超聲波換能器的另一個(gè)電極,用這種推換形式將方波信號(hào)加到超聲波換能器的兩

87、端,可以提高超聲波的發(fā)射強(qiáng)度。輸出端采兩個(gè)反向器并聯(lián),用以提高驅(qū)動(dòng)能力。上位電阻R1O、R11一方面可以提高反向器74LS04輸出高電平的驅(qū)動(dòng)能力,另一方面可以增加超聲波換能器的阻尼效果,縮短其自由振蕩時(shí)間。壓電式超聲波換能器是利用壓電晶體的諧振來工作的。超聲波換能器內(nèi)部有兩個(gè)壓電晶片和一個(gè)換能板。當(dāng)它的兩極外加脈沖信號(hào),其頻率等于壓電晶片的固有振蕩頻率時(shí),壓電晶片會(huì)發(fā)生共振,并帶動(dòng)共振板振動(dòng)產(chǎn)生超聲波,這時(shí)它就是一個(gè)超聲波發(fā)生器;反之

88、,如果兩電極問未外加電壓,當(dāng)共振板接收到超聲波時(shí),將壓迫壓電晶片作振動(dòng),將機(jī)械能轉(zhuǎn)換為電信號(hào),這時(shí)它就成為超聲波接收換能器。超聲波發(fā)射換能器與接收換能器在結(jié)構(gòu)上稍有不同,使用時(shí)應(yīng)分清器件上的標(biāo)志。</p><p>  超聲波檢測(cè)接收電路主要是由集成電路CX20106A組成,它是一款紅外線檢波接收的專用芯片,常用于電視機(jī)紅外遙控接收器??紤]到紅外遙控常用的載波頻率38 kHz與測(cè)距的超聲波頻率40 kHz較為接近,

89、可以利用它制作超聲波檢測(cè)接收電路。實(shí)驗(yàn)證明用CX20106A接收超聲波(無信號(hào)時(shí)輸出高電平),具有很好的靈敏度和較強(qiáng)的抗干擾能力。適當(dāng)更改電容C4的大小,可以改變接收電路的靈敏度和抗干擾能力。</p><p>  超聲波測(cè)距儀的軟件設(shè)計(jì)主要由主程序、超聲波發(fā)生子程序、超聲波接收中斷程序及顯示子程序組成。我們知道C語言程序有利于實(shí)現(xiàn)較復(fù)雜的算法,匯編語言程序則具有較高的效率且容易精細(xì)計(jì)算程序運(yùn)行的時(shí)間,而超聲波測(cè)距

90、儀的程序既有較復(fù)雜的計(jì)算(計(jì)算距離時(shí)),又要求精細(xì)計(jì)算程序運(yùn)行時(shí)間(超聲波測(cè)距時(shí)),所以控制程序可采用C語言和匯編語言混合編程。主超聲波測(cè)距儀主程序利用外中斷0檢測(cè)返回超聲波信號(hào),一旦接收到返回超聲波信號(hào)(即INT0引腳出現(xiàn)低電平),立即進(jìn)入中斷程序。進(jìn)入中斷后就立即關(guān)閉計(jì)時(shí)器T0停止計(jì)時(shí),并將測(cè)距成功標(biāo)志字賦值1。如果當(dāng)計(jì)時(shí)器溢出時(shí)還未檢測(cè)到超聲波返回信號(hào),則定時(shí)器T0溢出中斷將外中斷0關(guān)閉,并將測(cè)距成功標(biāo)志字賦值2以表示此次測(cè)距不成

91、功。 前方測(cè)距電路的輸出端接單片機(jī)INT0端口,中斷優(yōu)先級(jí)最高,左、右測(cè)距電路的輸出通過與門IC3A的輸出接單片機(jī)INT1端口,同時(shí)單片機(jī)P1.3和P1.4接到IC3A的輸入端,中斷源的識(shí)別由程序查詢來處理,中斷優(yōu)先級(jí)為先右后左。</p><p>  超聲波測(cè)距的算法設(shè)計(jì)原理為超聲波發(fā)生器T在某一時(shí)刻發(fā)出一個(gè)超聲波信號(hào),當(dāng)這個(gè)超聲波遇到被測(cè)物體后反射回來,就被超聲波接收器R所接收到。這樣只要計(jì)算出從發(fā)出

92、超聲波信號(hào)到接收到返回信號(hào)所用的時(shí)間,就可算出超聲波發(fā)生器與反射物體的距離。在啟動(dòng)發(fā)射電路的同時(shí)啟動(dòng)單片機(jī)內(nèi)部的定時(shí)器T0,利用定時(shí)器的計(jì)數(shù)功能記錄超聲波發(fā)射的時(shí)間和收到反射波的時(shí)間。當(dāng)收到超聲波反射波時(shí),接收電路輸出端產(chǎn)生一個(gè)負(fù)跳變,在INT0或INT1端產(chǎn)生一個(gè)中斷請(qǐng)求信號(hào),單片機(jī)響應(yīng)外部中斷請(qǐng)求,執(zhí)行外部中斷服務(wù)子程序,讀取時(shí)間差,計(jì)算距離。</p><p>  在元件及調(diào)制方面,由于采用的電路使用了很多集

93、成電路。外圍元件不是很多,所以調(diào)試應(yīng)該不會(huì)太難。一般只要電路焊接無誤,稍加調(diào)試應(yīng)該會(huì)正常工作。電路中除集成電路外,對(duì)各電子元件也無特別要求。根據(jù)測(cè)量范圍要求不</p><p>  同,可適當(dāng)調(diào)整與接收換能器并接的濾波電容C0的大小,以獲得合適的接收靈敏度和抗干擾能力。若能將超聲波接收電路用金屬殼屏蔽起來,則可提高抗干擾能力。 </p><p><b>  致 謝<

94、;/b></p><p>  首先,我要感謝我的xx老師在畢業(yè)設(shè)計(jì)中對(duì)我給予的悉心指導(dǎo)和嚴(yán)格要求,同時(shí)也感謝本校的一些老師在畢業(yè)設(shè)計(jì)期間所給予我得幫助。在我畢業(yè)論文寫作期間,各位老師給我提供了種種專業(yè)知識(shí)上的指導(dǎo)和日常生活上的關(guān)懷,沒有您們這樣的幫助和關(guān)懷,我不會(huì)這么順利的完成畢業(yè)設(shè)計(jì),借此機(jī)會(huì),向您們表示由衷的感激。同時(shí)還要感謝系實(shí)驗(yàn)室在畢業(yè)設(shè)計(jì)期間提供給我們優(yōu)越的實(shí)驗(yàn)條件。</p><

95、;p>  接著,我要感謝和我一起做畢業(yè)設(shè)計(jì)的同學(xué)。在畢業(yè)設(shè)計(jì)的短短3個(gè)月里,你們給我提出很多寶貴的意見,給了我不少幫助還有工作上的支持,在此也真誠的謝謝你們。同時(shí),我還要感謝我的寢室同學(xué)和身邊的朋友,正是在這樣一個(gè)團(tuán)結(jié)友愛,相互促進(jìn)的環(huán)境中,在和他們的相互幫助和啟發(fā)中,才有我今天的小小收獲。</p><p>  最后我要深深地感謝我的家人,正是他們含辛茹苦地把我養(yǎng)育成人,在生活和學(xué)習(xí)上給予我無盡的愛、理解和

96、支持,才使我時(shí)刻充滿信心和勇氣,克服成長(zhǎng)路上的種種困難,順利的完成大學(xué)學(xué)習(xí)。 </p><p>  還有許許多多給予我學(xué)業(yè)上鼓勵(lì)和幫助的朋友,在此無法一一列舉,在此也一并表示忠心地感謝!</p><p><b>  參考文獻(xiàn) </b></p><p>  [1] 胡萍.超聲波測(cè)距儀的研制.計(jì)算機(jī)與現(xiàn)代化,2003.10[2] 時(shí)德剛,劉嘩.超

97、聲波測(cè)距的研究.計(jì)算機(jī)測(cè)量與控制,2002.10 [3] 華兵.MCS-51單片機(jī)原理應(yīng)用.武漢:武漢華中科技大學(xué)出版社,2002 .5</p><p>  [4] 李華.MCU-51系列單片機(jī)實(shí)用接口技術(shù).北京:北京航空航天大學(xué)出版社, 1993. 6</p><p>  [5] 陳光東.單片機(jī)微型計(jì)算機(jī)原理與接口技術(shù)(第二版).武漢:華中理工大學(xué)出版社,1999.4</p>

98、;<p>  [6] 徐淑華,程退安,姚萬生.單片機(jī)微型機(jī)原理及應(yīng)用.哈爾濱:哈爾濱工業(yè)大學(xué)出版社,1999. 6.</p><p>  [7] 蘇長(zhǎng)贊.紅外線與超聲波遙控.北京:人民郵電出版社,1993.7</p><p>  [8] 張謙琳.超聲波檢測(cè)原理和方法.北京:中國科技大學(xué)出版社,1993.10</p><p>  [9] 九州.放大電路實(shí)

99、用設(shè)計(jì)手冊(cè).沈陽:遼寧科學(xué)技術(shù)出版社,2002.5</p><p>  [10] 樊昌元,丁義元. 高精度測(cè)距雷達(dá)研究.電子測(cè)量與儀器學(xué)報(bào),2000.10</p><p>  [11] 蘇偉,鞏壁建.超聲波測(cè)距誤差分析.傳感器技術(shù),2004.</p><p>  [12] 永學(xué)等.1-Wire總線數(shù)字溫度傳感器DS18B20及應(yīng)用.電子產(chǎn)品世界,2003.12<

100、;/p><p>  [13] 勝全.D18B20數(shù)字溫度計(jì)在微機(jī)溫度采集系統(tǒng)中的序編制. 南京:南京大學(xué)出版社1998. 3</p><p>  [14] 恒清,張靖.加強(qiáng)單片機(jī)系統(tǒng)抗干擾能力的方法.通化師范學(xué)院學(xué)報(bào),2004 .10</p><p>  [15] 晗曉,袁慧梅.單片機(jī)系統(tǒng)的印制板設(shè)計(jì)與抗干擾技術(shù).電子工藝技術(shù),2004 .6</p>&l

101、t;p>  [16] 豐,薛紅宣.采用軟件抗干擾設(shè)計(jì)提高微機(jī)系統(tǒng)的可靠性.電子產(chǎn)品世界,2004.1</p><p>  [17] 占操,梁厚琴,曹燕.單片機(jī)系統(tǒng)中的軟件抗干擾技術(shù).電子技術(shù),2003.3</p><p>  [18] 華兵.MCS-51單片機(jī)原理應(yīng)用.武漢:武漢華中科技大學(xué)出版社,2002 .5</p><p>  [19] 繼興,劉霞.單片

102、機(jī)系統(tǒng)軟件抗干擾措施分析.電子測(cè)量技術(shù),2003</p><p>  [20] 田華等.可編程單總線數(shù)字式溫度傳感器DS18B2的原理與應(yīng)用.電子質(zhì)量,2004.7</p><p>  [21] Tom R. Watt .Cooling our tomorrows economically ,ASHRAE Journal.</p><p>  [22] Army K

103、ayla. Improving efficiency in existing chillers with optimization technology ,ASHRAE Journal.</p><p>  [23] D.Pearl mutter , Eerily , Y.Etzion ,I.A.Meir,H.Di ,Refine the use of the evaporation in an experime

104、ntal down-draft cool tower ,Energys .1995</p><p>  [24] rtori S,ZHANG G X. Geometric Error Measurement and Compensation of Machines.Annals of the CIRP. 1995:599-609</p><p>  [25] olton W. Instru

105、mentation&process measurement. Longman</p><p>  Scientific&Technical. 1991</p><p><b>  附 錄</b></p><p><b>  附錄一</b></p><p>  超聲波測(cè)距電路原理圖&l

106、t;/p><p>  超聲波測(cè)距電路原理圖 </p><p><b>  附錄二</b></p><p><b>  超聲波測(cè)距電路版圖</b></p><p><b>  超聲波測(cè)距電路版圖</b></p><p><b>  附錄三</

107、b></p><p><b>  程序清單</b></p><p>  #include <REG2051.H></p><p>  #define k1 P3_4</p><p>  #define csbout    P3_5   

108、0;              //超聲波發(fā)送</p><p>  #define csbint    P3_7            

109、      //超聲波接收</p><p>  #define csbc=0.034</p><p>  #define bg  P3_3 </p><p>  unsigned char csbds,opto,digit,buffer[3],xm1,xm2,xm0,key,jpjs;//顯示標(biāo)識(shí)</

110、p><p>  unsigned char convert[10]={0x3F,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};//0~9段碼</p><p>  unsigned int s,t,i, xx,j,sj1,sj2,sj3,mqs,sx1;</p><p>  bit cl;   &

111、#160;  </p><p>  void csbcj();</p><p>  void delay(j);                      

112、60;       //延時(shí)函數(shù)</p><p>  void scanLED();                      

113、0;       //顯示函數(shù)</p><p>  void timeToBuffer();                  //顯示轉(zhuǎn)換函數(shù)</p><p>

114、  void keyscan();</p><p>  void k1cl();</p><p>  void k2cl();</p><p>  void k3cl();</p><p>  void k4cl();</p><p>  void offmsd();</p><p>  voi

115、d main()                              //主函數(shù)</p><p><b>  

116、{</b></p><p>  EA=1;                              &

117、#160; //開中斷</p><p>  TMOD=0x11;                   //設(shè)定時(shí)器0為計(jì)數(shù),設(shè)定時(shí)器1定時(shí)</p><p>  ET0=1;   &#

118、160;                          //定時(shí)器0中斷允許 </p><p>  ET1=1;    &

119、#160;                         //定時(shí)器1中斷允許 </p><p><b>  TH0=0x00;</b></p&g

120、t;<p><b>  TL0=0x00;</b></p><p><b>  TH1=0x9E;</b></p><p><b>  TL1=0x57;</b></p><p><b>  csbds=0;</b></p><p><

121、b>  csbint=1;</b></p><p><b>  csbout=1;</b></p><p><b>  cl=0;</b></p><p>  ōpto=0xff;</p><p><b>  jpjs=0;</b></p>&l

122、t;p><b>  sj1=45;</b></p><p><b>  sj2=200;</b></p><p><b>  sj3=400;</b></p><p><b>  k4cl();</b></p><p>  TR1=1; &

123、#160;                      </p><p><b>  while(1)</b></p><p>  {  &

124、#160;        keyscan();</p><p>  if(jpjs<1)</p><p><b>  {</b></p><p>  csbcj();        

125、60;  if(s>sj3)           {</p><p>  buffer[2]=0x76;      </p><p>  buffer[1]=0x76;    

126、0; </p><p>  buffer[0]=0x76;      </p><p><b>  }</b></p><p>  else if(s<sj1)           {&l

127、t;/p><p>  buffer[2]=0x40;     </p><p>  buffer[1]=0x40;      </p><p>  buffer[0]=0x40;</p><p><b>  }</b><

128、/p><p>  else timeToBuffer();      </p><p><b>  }</b></p><p>  else timeToBuffer();          

129、0; //將值轉(zhuǎn)換成LED段碼</p><p><b>  offmsd();</b></p><p>  scanLED();                  //顯示函數(shù)</p>

130、<p><b>  if(s<sj2)</b></p><p><b>  bg=0;</b></p><p><b>  bg=1;</b></p><p><b>  }</b></p><p><b>  }</b&g

131、t;</p><p>  void scanLED()                       //顯示功能模塊</p><p><b>  {</b&

132、gt;</p><p>  digit=0x04;</p><p>  for( i=0; i<3; i++)        //3位數(shù)顯示</p><p><b>  {</b></p><p>  P3=~digit&opto;

133、60;       //依次顯示各位數(shù)</p><p>  P1=~buffer;        //顯示數(shù)據(jù)送P1口</p><p>  delay(20);        

134、60;     //延時(shí)處理</p><p>  P1=0xff;             //P1口置高電平(關(guān)閉)</p><p>  if((P3&0x10)==0)    &#

135、160; //判斷3位是否顯示完</p><p><b>  key=0;</b></p><p>  digit>>=1;             //循環(huán)右移1位</p><p><b>  }<

136、;/b></p><p><b>  }</b></p><p>  void timeToBuffer()                //轉(zhuǎn)換段碼功能模塊</p><p><b&

137、gt;  {</b></p><p>  xm0=s/100;      </p><p>  xm1=(s-100*xm0)/10;</p><p>  xm2=s-100*xm0-10*xm1;</p><p>  buffer[2]=convert[xm2]; &#

138、160;    </p><p>  buffer[1]=convert[xm1];</p><p>  buffer[0]=convert[xm0];</p><p><b>  }</b></p><p>  void delay(i)    &#

139、160;                         </p><p><b>  {</b></p><p>  while

140、(--i);</p><p><b>  }</b></p><p>  void timer1int (void)  interrupt 3  using 2 </p><p><b>  {</b></p><p><b>  TH1=0x9E;</b>

141、</p><p><b>  TL1=0x57;</b></p><p><b>  csbds++;</b></p><p>  if(csbds>=40)</p><p><b>  {</b></p><p>  csbds=0; 

142、;          cl=1;</p><p>  }            </p><p><b>  }</b></p><p>  vo

143、id csbcj()</p><p><b>  {</b></p><p>  if(cl==1)     {</p><p><b>  TR1=0;</b></p><p><b>  TH0=0x00;</b></p>

144、;<p><b>  TL0=0x00;</b></p><p><b>  i=10;</b></p><p>  while(i--)</p><p><b>  {</b></p><p>  csbout=!csbout;</p><p

145、><b>  }</b></p><p>  TR0=1;                 </p><p>  i=mqs;     

146、0;                        //盲區(qū)</p><p>  while(i--)</p><p><b>  {</b>

147、;</p><p><b>  }</b></p><p><b>  i=0;</b></p><p>  while(csbint)</p><p><b>  {</b></p><p><b>  i++;</b></

148、p><p>  if(i>=2450)                  //上限值</p><p><b>  csbint=0;</b></p><p><b>

149、;  }</b></p><p><b>  TR0=0;</b></p><p><b>  TH1=0x9E;</b></p><p><b>  TL1=0x57;</b></p><p><b>  t=TH0;</b></p&g

150、t;<p>  t=t*256+TL0;</p><p>  s=t*csbc/2;</p><p><b>  TR1=1;</b></p><p><b>  cl=0;</b></p><p><b>  }</b></p><p>

151、<b>  }</b></p><p>  void keyscan()                        //健盤處理函數(shù)</p>&l

152、t;p><b>  {</b></p><p><b>  xx=0;</b></p><p>  if(k1!=1)                  

153、0;           // 判斷開關(guān)是否按下</p><p><b>  {</b></p><p>  delay(400);           

154、60;            //延時(shí)去抖動(dòng)</p><p>  if(k1!=1)                  

155、60;           // 判斷開關(guān)是否按下     </p><p>  {            </p><p>  w

156、hile(!k1)           {</p><p>  delay(30);    </p><p>  xx++;           }

157、</p><p>  if(xx>2000)           </p><p><b>  {</b></p><p><b>  jpjs++;</b></p><p>  if

158、(jpjs>4)                 jpjs=0;</p><p><b>  }</b></p><p><b>  xx=0;</b></p>&l

159、t;p>  switch(jpjs)           {</p><p>  case 1: k1cl();break;         </p><p>  case 2: k2cl();

160、break;</p><p>  case 3: k3cl();break;</p><p>  case 4: k4cl();break;</p><p><b>  }</b></p><p><b>  }</b></p><p><b>  }</b&

161、gt;</p><p><b>  }</b></p><p>  void k1cl()</p><p><b>  {</b></p><p>  sj1=sj1+5;</p><p>  if(sj1>100)</p><p><b&

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論