2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩18頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p><b>  摘要</b></p><p>  交通燈是交管部分管理城市交通的重要工具。現(xiàn)在交通燈一般設(shè)在十字路口,在醒目位置用紅、綠、黃三種顏色的指示燈,加上一個(gè)倒計(jì)時(shí)的顯示計(jì)時(shí)器來(lái)控制行車,對(duì)于一般情況下的安全行車、車輛分流發(fā)揮著作用。交通信號(hào)燈控制方式很多。本系統(tǒng)采用MCS-51系列單片機(jī)AT89C52為中心器件來(lái)設(shè)計(jì)交通燈控制器,實(shí)現(xiàn)了紅綠燈循環(huán)點(diǎn)亮,同時(shí)數(shù)碼管顯示倒

2、計(jì)時(shí)時(shí)間,倒計(jì)時(shí)剩5秒時(shí)黃燈閃爍警示;本系統(tǒng)還設(shè)置了一個(gè)緊急模式,按下緊急模式按鈕,各個(gè)方向都為紅燈,所有車輛禁止通行。本系統(tǒng)設(shè)計(jì)周期短、可靠性高、實(shí)用性強(qiáng)、操作簡(jiǎn)單、維護(hù)方便、擴(kuò)展功能強(qiáng)。 </p><p>  關(guān)鍵詞:?jiǎn)纹瑱C(jī);交通燈 </p><p><b>  第一章 緒論</b></p><p>  1.1 單片機(jī)概述<

3、;/p><p>  單片機(jī)(單片微型計(jì)算機(jī))是一種集成在電路芯片,是采用超大規(guī)模集成電路技術(shù)把具有數(shù)據(jù)處理能力的中央處理器CPU隨機(jī)存儲(chǔ)器RAM、只讀存儲(chǔ)器ROM、多種I/O口和中斷系統(tǒng)、定時(shí)器/計(jì)時(shí)器等功能(可能還包括顯示驅(qū)動(dòng)電路、脈寬調(diào)制電路、模擬多路轉(zhuǎn)換器、A/D轉(zhuǎn)換器等電路)集成到一塊硅片上構(gòu)成的一個(gè)小而完善的計(jì)算機(jī)系統(tǒng)。</p><p>  隨著微電子技術(shù),自動(dòng)控制技術(shù),微機(jī)應(yīng)用技術(shù)

4、的發(fā)展,使單片微型計(jì)算機(jī)也得到迅速的發(fā)展,單片微型計(jì)算機(jī)是微型計(jì)算機(jī)的一個(gè)重要分支,單片機(jī)微型計(jì)算機(jī)簡(jiǎn)稱單片機(jī),特別適用于控制領(lǐng)域,故又稱為微控制器。</p><p>  單片機(jī)的應(yīng)用已經(jīng)滲透到國(guó)民經(jīng)濟(jì)的各個(gè)部門和領(lǐng)域,它起到了越來(lái)越重要的作用。它已成為工業(yè)控制領(lǐng)域,智能儀器儀表,尖端武器,日常生活中最廣泛使用的控制器。</p><p>  1.2 交通燈發(fā)展現(xiàn)狀</p>&

5、lt;p>  最早的交通燈出現(xiàn)于一八六八年英國(guó)倫敦。那時(shí)的交通燈只有紅、綠兩色,經(jīng)改良后,再增加一盞黃色的燈,紅燈表示停止,黃燈表示準(zhǔn)備,綠燈則表示通行。顏色也有各自要表達(dá)的含意,要表達(dá)熱或劇烈的話,最強(qiáng)是紅色,其次是黃色。綠色則有較冷及平靜的含意。因此,人們常以紅色代表危險(xiǎn),黃色代表警覺,綠色代表安全。由于紅光的穿透力最強(qiáng),其他顏色的光很容易被散射,在霧天里就不容易看見,而紅光最不容易被散射,即使空氣能見度比較低,也容易被看見,

6、不會(huì)發(fā)生事故。所以我們用紅色表示禁止。</p><p>  中國(guó)最早的馬路紅綠燈,是于1928年出現(xiàn)在上海的英租界。 </p><p>  從最早的手牽皮帶到20世紀(jì)50年代的電氣控制,從采用計(jì)算機(jī)控制到現(xiàn)代化的電子定時(shí)監(jiān)控,交通信號(hào)燈在科學(xué)化、自動(dòng)化上不斷地更新、發(fā)展和完善。</p><p>  目前,交通燈已是交管部分管理城市交通的重要工具。現(xiàn)在交通燈一般設(shè)在十

7、字路口,在醒目位置用紅、綠、黃三種顏色的指示燈,加上一個(gè)倒計(jì)時(shí)的顯示計(jì)時(shí)器來(lái)控制行車,對(duì)于一般情況下的安全行車、車輛分流發(fā)揮著作用。目前絕大部分交通燈其時(shí)間都是設(shè)定好的,采用的是單段式定時(shí)控制或多段式定時(shí)控制。</p><p>  面向21世紀(jì)的智能化汽車的交通運(yùn)輸系統(tǒng)應(yīng)使車、路高度智能化,使人、車、路三者合一,逐步實(shí)現(xiàn)汽車在公路上自動(dòng)安全地運(yùn)行。為解決交通堵塞,交通事故的國(guó)際難題而發(fā)展起來(lái)的智能交通系統(tǒng),是將先

8、進(jìn)的信息技術(shù),數(shù)據(jù)通訊和傳輸技術(shù)、電子自動(dòng)控制技術(shù)及計(jì)算機(jī)處理技術(shù)等有效地用于整個(gè)地面運(yùn)輸管理體系,全方位發(fā)揮作用的實(shí)時(shí)、準(zhǔn)確、高效的公路綜合管理系統(tǒng)。</p><p>  第二章 系統(tǒng)方案</p><p>  2.1 系統(tǒng)要求指標(biāo)及其功能</p><p><b>  本系統(tǒng)要實(shí)現(xiàn):</b></p><p><

9、;b>  1.正常模式</b></p><p>  第一階段:東西方向綠燈亮,南北方向紅燈亮,倒計(jì)時(shí)60秒。此時(shí)東西方向車輛通行,南北方向禁止。</p><p>  第二階段:當(dāng)計(jì)時(shí)到最后5秒,東西方向綠燈滅,黃燈每秒閃爍一次。</p><p>  第三階段:當(dāng)計(jì)時(shí)到零后,東西方向紅燈亮,南北方向綠燈亮,再次倒計(jì)時(shí)60秒。此時(shí)東西方向車輛禁

10、止,南北方向車輛通行。</p><p>  第四階段:當(dāng)計(jì)時(shí)到最后5秒,南北方向綠燈滅,黃燈每秒閃爍一次。</p><p>  2.緊急模式:按下緊急按鈕,各個(gè)方向都強(qiáng)制變?yōu)榧t燈。所有方向禁止通行。</p><p>  2.2 各種方案選擇比較</p><p>  2.2.1 實(shí)現(xiàn)方式的選擇</p><p>  方

11、案一:采用純數(shù)字門電路。通過(guò)各種邏輯門電路的組合連接,實(shí)現(xiàn)系統(tǒng)功能。此方案設(shè)計(jì)復(fù)雜,電路復(fù)雜,功能單一,且需要門電路較多,成本較高,也不美觀。維護(hù)調(diào)試都比較麻煩。</p><p>  方案二:采用單片機(jī)為主控制器。此方案電路簡(jiǎn)單,設(shè)計(jì)工作主要是軟件設(shè)計(jì),設(shè)計(jì)較為靈活,功能都是通過(guò)軟件實(shí)現(xiàn),硬件花費(fèi)少;應(yīng)用KEIL 軟件,C語(yǔ)言編程,軟件設(shè)計(jì)也較為方便。系統(tǒng)易于調(diào)試維護(hù)。應(yīng)用單片機(jī)使得系統(tǒng)更具有智能化的特色,是當(dāng)今

12、的主流。故采用本方案。</p><p>  2.2.3 顯示模塊的選擇</p><p>  方案一:采用大屏幕點(diǎn)陣顯示屏。此方案顯示效果較好,但設(shè)計(jì)復(fù)雜,成本較高,性價(jià)比低。</p><p>  方案二:采用數(shù)碼管顯示。此器件價(jià)格較低廉,能顯示數(shù)字,亮度較高,且規(guī)格較多,滿足系統(tǒng)顯示要求。本系統(tǒng)可選用大尺寸、高亮度的LED數(shù)碼管。故選用此方案。</p>

13、<p>  2.2.4 時(shí)鐘電路的選擇</p><p>  方案一:采用555集成電路組成振蕩電路,輸出信號(hào)作為單片機(jī)時(shí)鐘輸入。但此方案輸出頻率較低,且計(jì)時(shí)不準(zhǔn)確,成本也較高。</p><p>  方案二:采用晶振組成時(shí)鐘電路。此方案硬件花費(fèi)少,計(jì)時(shí)準(zhǔn)確,成本較低,系統(tǒng)工作頻率快。</p><p>  2.3 系統(tǒng)的描述</p>&l

14、t;p>  圖2.3.1 交通燈的系統(tǒng)框圖</p><p>  本系統(tǒng)以AT89C52單片機(jī)為控制核心,結(jié)合LED發(fā)光二極管,數(shù)碼管電路等外圍電路,通過(guò)單片機(jī)I/O口實(shí)現(xiàn)對(duì)發(fā)光二極管亮滅的控制,數(shù)碼管顯示的控制。程序設(shè)計(jì)方面,東西,南北兩個(gè)方向轉(zhuǎn)換時(shí)間均為60秒,其中綠燈55秒,緊接著黃燈5秒,每秒閃爍一次,期間數(shù)碼管顯示倒計(jì)時(shí)時(shí)間,60秒計(jì)時(shí)結(jié)束,轉(zhuǎn)換到另一方向,依次循環(huán)。緊急按鈕的作用是緊急狀態(tài)下,

15、所有方向均為紅燈,禁止一切車輛通行。</p><p><b>  第三章 電路設(shè)計(jì)</b></p><p>  3.1 系統(tǒng)總體設(shè)計(jì)</p><p>  本設(shè)計(jì)的主要電路有:?jiǎn)纹瑱C(jī)STC89C52最小系統(tǒng)、數(shù)碼管顯示驅(qū)動(dòng)模塊、按鍵輸入模塊,LED發(fā)光二極管電路,交通燈仿真模擬模塊。</p><p>  外圍電路都與單

16、片機(jī)引腳I/O口相連,以實(shí)現(xiàn)單片機(jī)對(duì)外圍電路的控制,實(shí)現(xiàn)相應(yīng)功能。</p><p>  3.2 單元電路設(shè)計(jì)</p><p>  3.2.1 單片機(jī)最小系統(tǒng)</p><p>  圖3.2.1 單片機(jī)最小系統(tǒng)</p><p>  如圖3.2.1 單片機(jī)最小系統(tǒng)包括單片機(jī)、時(shí)鐘電路、復(fù)位電路。其他引腳I/O相應(yīng)的擴(kuò)充功能。</p&g

17、t;<p>  3.2.2 電源電路</p><p>  圖3.2.2 電源電路</p><p>  本電源電路如上圖所示,應(yīng)用7805三端穩(wěn)壓電源模塊構(gòu)成。輸出穩(wěn)壓5V電壓,為單片機(jī)、LED燈和數(shù)碼管提供工作電壓。電容為濾波作用,使輸出電源更平滑穩(wěn)定。</p><p>  3.2.3 數(shù)碼管與發(fā)光二極管電路</p><p&g

18、t;  如右圖,數(shù)碼管為共陽(yáng)極數(shù)碼管,發(fā)光二極管為共陽(yáng)極,有紅、綠、藍(lán)三種顏色,代表紅綠燈。數(shù)碼管和發(fā)光二極管安放在東、西、南、北4個(gè)方向。</p><p>  3.2.3 交通燈模擬仿真模塊</p><p>  通過(guò)PROTUES 軟件仿真的方式搭建仿真模塊如圖。</p><p>  圖3.2.3 交通燈仿真模塊</p><p><

19、;b>  第四章 程序設(shè)計(jì)</b></p><p>  4.1程序總體流程圖</p><p>  圖4.1.1 系統(tǒng)總體流程圖</p><p>  程序設(shè)計(jì)實(shí)現(xiàn)功能為東西,南北兩個(gè)方向轉(zhuǎn)換時(shí)間均為60秒,其中綠燈55秒,緊接著黃燈5秒,每秒閃爍一次,期間數(shù)碼管顯示倒計(jì)時(shí)時(shí)間,60秒計(jì)時(shí)結(jié)束,轉(zhuǎn)換到另一方向,依次循環(huán)。緊急按鈕的作用是緊急狀態(tài)下,

20、所有方向均為紅燈,禁止一切車輛通行。</p><p>  第五章 測(cè)試與仿真</p><p><b>  5.1測(cè)試方法</b></p><p>  測(cè)試仿真工具:Protues軟件仿真</p><p><b>  5.2測(cè)試效果</b></p><p>  圖5.2.1

21、 Protues仿真總體效果圖</p><p>  以上為各個(gè)狀態(tài)下交通燈的顯示狀態(tài)。滿足設(shè)計(jì)要求。</p><p><b>  第五章 總結(jié)</b></p><p>  本系統(tǒng)以STC89C52單片機(jī)為控制核心,結(jié)合周邊電路。經(jīng)過(guò)測(cè)試,各項(xiàng)功能指標(biāo)均達(dá)到設(shè)計(jì)要求,且電路設(shè)計(jì)簡(jiǎn)潔,程序設(shè)計(jì)也較為簡(jiǎn)單,界面效果簡(jiǎn)潔美觀,操作簡(jiǎn)單實(shí)用。&l

22、t;/p><p>  通過(guò)此次畢業(yè)課題的設(shè)計(jì),讓我學(xué)到很多東西,一方面,它是3年大學(xué)所學(xué)知識(shí)的一個(gè)綜合應(yīng)用,考察了我大學(xué)所學(xué)的知識(shí),是一次徹底的知識(shí)鞏固和提高。另一方面,通過(guò)綜合的應(yīng)用設(shè)計(jì),鍛煉了我應(yīng)用知識(shí)的能力和動(dòng)手能力。同時(shí),在設(shè)計(jì)的過(guò)程中,也鍛煉了我解決問(wèn)題的能力,遇到問(wèn)題堅(jiān)持不懈的毅力和細(xì)心程度。讓我在以后求職就業(yè)中更好的學(xué)習(xí)和提高自己。</p><p><b>  第六章

23、參考文獻(xiàn)</b></p><p>  [1] 李全利. 單片機(jī)原理及接口技術(shù).高等教育出版社</p><p>  [2] 公茂法. 單片機(jī)原理與實(shí)踐.北京航空航天出版社</p><p>  [3] 全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽組委會(huì). 第九屆全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽獲獎(jiǎng)作品選編.北京理工大學(xué)出版社</p><p>  [4] 及力. P

24、rotel 99原理圖與PCB設(shè)計(jì)教程. 電子工業(yè)出版社</p><p><b>  第七章附錄</b></p><p>  /*===========================程序清單========================*/</p><p>  #include<reg51.h></p><

25、;p>  typedef unsigned char uchar;</p><p>  typedef unsigned int uint;</p><p>  #define DATA P0</p><p>  #define ON 0</p><p>  #define OFF 1</p>&l

26、t;p>  sbit WE1=P2^0;</p><p>  sbit WE2=P2^1;</p><p>  sbit NS1=P2^2;</p><p>  sbit NS2=P2^3;</p><p>  sbit WE_R=P1^0; </p><p>  sbit WE_Y=P1^1;</p>

27、;<p>  sbit WE_G=P1^2;</p><p>  sbit NS_R=P1^3;</p><p>  sbit NS_Y=P1^4;</p><p>  sbit NS_G=P1^5;</p><p>  bit flag,alarm_flag;</p><p>  uchar sec;

28、</p><p>  uchar code lab[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,//數(shù)碼管編碼</p><p>  0x07,0x7f,0x6f};</p><p>  /*======================自定義子程序===================*/</p><p> 

29、 void delays(uchar s,uchar init);</p><p>  void init_T1();</p><p>  void delay500ms();</p><p>  void delay20ms();</p><p>  void init_INT0();</p><p>  /*===

30、=====================主程序=====================*/</p><p>  void main()</p><p><b>  {</b></p><p><b>  uchar i;</b></p><p>  init_T1();</p>&

31、lt;p>  init_INT0();</p><p><b>  while(1)</b></p><p><b>  {</b></p><p>  while(!alarm_flag)</p><p><b>  {</b></p><p>

32、<b>  WE_G=ON;</b></p><p><b>  WE_Y=OFF;</b></p><p><b>  WE_R=OFF;</b></p><p><b>  NS_G=OFF;</b></p><p><b>  NS_Y=O

33、FF;</b></p><p><b>  NS_R=ON;</b></p><p>  delays(55,59);</p><p><b>  WE_G=OFF;</b></p><p><b>  WE_Y=ON;</b></p><p&g

34、t;<b>  WE_R=OFF;</b></p><p><b>  NS_G=OFF;</b></p><p><b>  NS_Y=OFF;</b></p><p><b>  NS_R=ON;</b></p><p>  for(i=5;i>

35、0;i--)</p><p><b>  {</b></p><p><b>  WE_Y=ON;</b></p><p>  delay500ms();</p><p><b>  WE_Y=OFF;</b></p><p>  delay500ms(

36、);</p><p><b>  sec--;</b></p><p><b>  }</b></p><p><b>  WE_G=OFF;</b></p><p><b>  WE_Y=OFF;</b></p><p><

37、b>  WE_R=ON;</b></p><p><b>  NS_G=ON;</b></p><p><b>  NS_Y=OFF;</b></p><p><b>  NS_R=OFF;</b></p><p>  delays(55,59);</p

38、><p><b>  WE_G=OFF;</b></p><p><b>  WE_Y=OFF;</b></p><p><b>  WE_R=ON;</b></p><p><b>  NS_G=OFF;</b></p><p>&l

39、t;b>  NS_Y=ON;</b></p><p><b>  NS_R=OFF;</b></p><p>  for(i=5;i>0;i--)</p><p><b>  {</b></p><p><b>  NS_Y=ON;</b></p&

40、gt;<p>  delay500ms();</p><p><b>  NS_Y=OFF;</b></p><p>  delay500ms();</p><p><b>  sec--;</b></p><p><b>  }</b></p>&

41、lt;p><b>  }</b></p><p><b>  sec=0;</b></p><p><b>  WE_G=OFF;</b></p><p>  WE_Y=OFF;</p><p><b>  WE_R=ON;</b></p&

42、gt;<p><b>  NS_G=OFF;</b></p><p><b>  NS_Y=OFF;</b></p><p><b>  NS_R=ON;</b></p><p><b>  }</b></p><p><b>  

43、}</b></p><p>  void delay500ms()</p><p><b>  {</b></p><p><b>  uchar i;</b></p><p>  for(i=10;i>0;i--)</p><p><b>  {

44、</b></p><p>  TMOD=TMOD|0X10;</p><p>  TH0=15536/256;</p><p>  TL0=15536%256;</p><p><b>  TR0=1;</b></p><p>  while(!TF0)</p><

45、p><b>  {</b></p><p>  if(alarm_flag) return;</p><p><b>  }</b></p><p><b>  TF0=0;</b></p><p><b>  }</b></p>&l

46、t;p><b>  }</b></p><p>  void delays(uchar s,uchar init)</p><p><b>  {</b></p><p><b>  uchar i;</b></p><p>  if(init) sec=init;&l

47、t;/p><p>  while(s--)</p><p><b>  {</b></p><p>  for(i=20;i>0;i--)</p><p><b>  {</b></p><p>  TMOD=TMOD|0X10;</p><p> 

48、 TH0=15536/256;</p><p>  TL0=15536%256;</p><p><b>  TR0=1;</b></p><p>  while(!TF0)</p><p><b>  {</b></p><p>  if(alarm_flag) retur

49、n;</p><p><b>  }</b></p><p><b>  TF0=0;</b></p><p><b>  }</b></p><p><b>  sec--;</b></p><p><b>  }

50、</b></p><p><b>  }</b></p><p>  void delay20ms()</p><p><b>  {</b></p><p>  uchar i,j;</p><p>  for(i=40;i>0;i--)</p>

51、;<p>  for(j=250;j>0;j--);</p><p><b>  }</b></p><p>  void init_T1()</p><p><b>  {</b></p><p>  TMOD=0X11;</p><p>  TH1=6

52、0536/256;</p><p>  TL1=60536%256;</p><p><b>  ET1=1;</b></p><p><b>  TR1=1;</b></p><p><b>  EA=1;</b></p><p><b> 

53、 }</b></p><p>  void init_INT0()</p><p><b>  {</b></p><p><b>  EA=1;</b></p><p><b>  EX0=1;</b></p><p><b> 

54、 IT0=1;</b></p><p><b>  }</b></p><p>  void INT0_SIR() interrupt 0</p><p><b>  {</b></p><p><b>  EX0=0;</b></p><p&g

55、t;  delay20ms();</p><p>  if(INT0)return;</p><p>  alarm_flag=~alarm_flag;</p><p><b>  EX0=1;</b></p><p><b>  }</b></p><p>  void T

56、1_SIR()interrupt 3</p><p><b>  {</b></p><p>  TH1=60536/256;</p><p>  TL1=60536%256;</p><p><b>  if(flag)</b></p><p><b>  {&

57、lt;/b></p><p><b>  WE1=0;</b></p><p><b>  WE2=0;</b></p><p><b>  NS1=0;</b></p><p><b>  NS2=0;</b></p><p&g

58、t;  DATA=~lab[sec/10];</p><p><b>  WE1=1;</b></p><p><b>  NS1=1;</b></p><p><b>  flag=0;</b></p><p><b>  }</b></p>

59、<p><b>  else </b></p><p><b>  {</b></p><p><b>  WE1=0;</b></p><p><b>  WE2=0;</b></p><p><b>  NS1=0;</b

60、></p><p><b>  NS2=0;</b></p><p>  DATA=~lab[sec%10];</p><p><b>  WE2=1;</b></p><p><b>  NS2=1;</b></p><p><b> 

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論