2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩22頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、<p><b>  一、摘 要</b></p><p>  隨著科學(xué)技術(shù)的快速發(fā)展,超聲波將在測距儀中的應(yīng)用越來越廣。但就目前技術(shù)水平來說,人們可以具體利用的測距技術(shù)還十分有限,因此,這是一個正在蓬勃發(fā)展而又有無限前景的技術(shù)及產(chǎn)業(yè)領(lǐng)域。展望未來,超聲波測距儀作為一種新型的非常重要有用的工具在各方面都將有很大的發(fā)展空間,它將朝著更加高定位高精度的方向發(fā)展,以滿足日益發(fā)展的社會需求,如

2、聲納的發(fā)展趨勢基本為:研制具有更高定位精度的被動測距聲納,以滿足水中武器實施全隱蔽攻擊的需要;繼續(xù)發(fā)展采用低頻線譜檢測的潛艇拖曳線列陣聲納,實現(xiàn)超遠程的被動探測和識別;研制更適合于淺海工作的潛艇聲納,特別是解決淺海水中目標識別問題;大力降低潛艇自噪聲,改善潛艇聲納的工作環(huán)境。無庸置疑,未來的超聲波測距儀將與自動化智能化接軌,與其他的測距儀集成和融合,形成多測距儀。隨著測距儀的技術(shù)進步,測距儀將從具有單純判斷功能發(fā)展到具有學(xué)習(xí)功能,最終發(fā)

3、展到具有創(chuàng)造力。在新的世紀里,面貌一新的測距儀將發(fā)揮更大的作用。</p><p>  本設(shè)計采用以AT89C51單片機為核心的低成本、高精度、微型化數(shù)字顯示超聲波測距儀的硬件電路和軟件設(shè)計方法。整個電路采用模塊化設(shè)計,由主程序、中斷程序、發(fā)射子程序、接收子程序、顯示子程序等模塊組成。各探頭的信號經(jīng)單片機綜合分析處理,實現(xiàn)超聲波測距儀的各種功能。在此基礎(chǔ)上設(shè)計了系統(tǒng)的總體方案,最后通過硬件和軟件實現(xiàn)了各個功能模塊。

4、</p><p><b>  二、設(shè)計基礎(chǔ)</b></p><p><b>  2.1 單片機介紹</b></p><p>  單片機就是將計算機的中央處理器(CPU)、隨機存取存儲器(RAM)、只讀存儲器(ROM)、定時器/計數(shù)器和多種輸入/輸出接口(I/O)以及相互連接的總線(BUS)等集成在一塊芯片上,形成了芯片級的

5、計算機[1]。</p><p>  一塊單片機芯片就是一臺計算機。由于單片機的這種特殊的結(jié)構(gòu)形式,在某些應(yīng)用領(lǐng)域中,它承擔(dān)了大中型計算機和通用微型計算機無法完成的一些工作。使其具有很多顯著的優(yōu)點和特點,因此在各個領(lǐng)域中都得到了迅猛的發(fā)展。單片機的特點歸納起來有以下幾個方面。 </p><p>  (1)具有優(yōu)異的性價比 </p><p>  單片機盡可能地把應(yīng)用所

6、需的存儲器,各種功能的 I/O 接口集成在一塊芯片內(nèi),因而其性能很高,而價格卻相對較低廉,即性價比很高。 </p><p>  (2)集成度高、體積小、可靠性高 </p><p>  單片機把各種功能部件集成在一塊芯片上,因而集成度高,均為大規(guī)模或超大規(guī)模集成電路。又內(nèi)部采用總線結(jié)構(gòu),減少了芯片之間的連線,這大大提高了單片機的可靠性與抗干擾能力。同時,其體積小,對于強磁場環(huán)境易于采取屏蔽措

7、施,適合于在惡劣環(huán)境下工作。 </p><p><b>  (3)控制功能強 </b></p><p>  單片機非常適用于專門的控制用途。為了滿足工業(yè)控制要求,一般單片機的指令系統(tǒng)中有極豐富的轉(zhuǎn)移指令,I/O 口的邏輯操作指令以及位操作指令。</p><p>  其邏輯控制功能及運行速度均高于同一檔次的微機。 </p><

8、p>  (4)低電壓、低功耗 </p><p>  單片機大量用于攜帶式產(chǎn)品和家用消費類產(chǎn)品,低電壓和低功耗尤為重要。目前,許多單片機已可在 2.2V 電壓下運行,有的已能在 1.2V 或 0.9V 下工作,功耗降至μA 級,一粒鈕扣電池就可長期使用[2]。</p><p>  STC89C52芯片共40引腳:1-8腳: 通用I/O接口P1.0-P1.7;9腳: RET復(fù)位鍵10-1

9、1腳:RXD串口輸入, TXD串口輸出;12-19:I/O P3接口 (12、13腳 INT0中斷0、INT1中斷1;14/15:計數(shù)脈沖T0 /T1 :16:WR寫控制;17: RD讀控制輸出端); 18,19: 晶振諧振器 20 地線 ; 21-28 :P2接口高8位地址總線;29:PSEN 片外ROM選通端;單片機對片外ROM操作時29腳(PSEN)輸出低電平;30:ALE/PROG 地址鎖存器;31:EARO

10、M取指令控制器高電平片內(nèi)取低電平片外?。?2-39:P0.7-P0.0(注意此接口的順序與其他I/O接口不同 與引腳號的排列順序相反);40:電源+5V。其引腳如圖4-1所示。 </p><p><b>  管腳說明:</b></p><p>  VCC——供電電壓。</p><p>

11、;<b>  GND——接地。</b></p><p>  P0口——可作為地址/數(shù)據(jù)分時復(fù)用總線和通用I/O接口。單片機系統(tǒng)擴展外存儲器時,P0口作為地址/數(shù)據(jù)分時復(fù)用總線使用;系統(tǒng)未擴展片外存儲器時,P0口作為準雙向通用I/O接口使用。P0口輸出時能驅(qū)動8個LETTL負載,即輸出電流不 曉宇800μΑ。</p><p>  P1口——帶內(nèi)部上拉電阻的8位雙向I/O

12、口,通用I/O接口有輸入、輸出、端口操作3中工作方式。</p><p>  P2口——帶內(nèi)部上拉電阻的8位雙向I/O口,P2口緩沖器可接收,輸出4個TTL門電流,當(dāng)P2口被寫“1”時,其管腳被內(nèi)部上拉電阻拉高,且作為輸入。并因此作為輸入時,P2口的管腳被外部拉低,將輸出電流。這是由于內(nèi)部上拉的緣故。P2口當(dāng)用于外部程序存儲器或16位地址外部數(shù)據(jù)存儲器進行存取時,P2口輸出地址的高八位。在給出地址“1”時,它利用內(nèi)

13、部上拉優(yōu)勢,當(dāng)對外部八位地址數(shù)據(jù)存儲器進行讀寫時,P2口輸出其特殊功能寄存器的內(nèi)容。P2口在FLASH編程和校驗時接收高八位地址信號和控制信號。</p><p>  P3口——除了作為通用的準雙向I/O接口使用外,每一根線還具有第二種功能,詳細如表2-1所示。</p><p>  表2-1 P3口的特殊功能說明</p><p>  RST——復(fù)位輸入。當(dāng)振蕩器復(fù)位

14、器件時,要保持RST腳兩個機器周期的高電平時間。</p><p>  XTAL1——反向振蕩放大器的輸入及內(nèi)部時鐘工作電路的輸入。</p><p>  XTAL2——來自反向振蕩器的輸出。</p><p>  STC89C52的功能特性描述</p><p>  低功耗、高性能的CMOS 8位微控制器,具有8K在系統(tǒng)可編程Flash存儲器。使用

15、高密度非易失性存儲器技術(shù)制造,與工業(yè)80C51產(chǎn)品指令和引腳完全兼容。片上Flash允許程序存儲器在系統(tǒng)可編程,亦適于常規(guī)編程器。在單芯片上,擁有靈巧的8 位CPU 和在線系統(tǒng)可編程Flash,使得STC89C52為眾多嵌入式控制應(yīng)用系統(tǒng)提供高靈活、超有效的解決方案。STC89C52具有以下標準功能: 8k字節(jié)Flash,256字節(jié)RAM,32 位I/O口線,看門狗定時器,2個數(shù)據(jù)指針,三個16位定時器/計數(shù)器,一個6向量2級中斷結(jié)構(gòu),

16、全雙工串行口,片內(nèi)晶振及時鐘電路。另外,STC89C52可降至0Hz靜態(tài)邏輯操作,支持2種軟件可選擇節(jié)電模式。空閑模式下,CPU停止工作,允許RAM、定時器/計數(shù)器、串口、中斷繼續(xù)工作。掉電保護方式下,RAM內(nèi)容被保存,振蕩器被凍結(jié),單片機一切工作停止,直到下一個中斷或硬件復(fù)位為止[1]。8位微控制器 8K字節(jié)在系統(tǒng)可編程 Flash。</p><p>  2.2 單片機系統(tǒng)外圍電路 </p>&l

17、t;p>  單片機的復(fù)位電路:在給單片機通電時,單片機內(nèi)部的電路處于不確定的工作狀態(tài),為使單片機工作時內(nèi)部電路有一個確定的工作狀態(tài),單片機在工作之前要有一個復(fù)位的過程。對于AT89C52單片機而言,通常在其RST引腳上保持10mS以上的高電平就能使單片機完全復(fù)位。這種復(fù)位電路的原理是通電時,電容兩端相當(dāng)于短路,RST引腳上為高電平,然后電源通過電阻R1對電容C1充電,RST端電壓慢慢下降,降到一定電壓值以下,即為低電平,單片機開始

18、正常工作。如圖2-3所示。根據(jù)應(yīng)用的要求,復(fù)位操作通常由上電復(fù)位和開關(guān)復(fù)位2種基本形式。本系統(tǒng)使用的復(fù)位電路是在基本復(fù)位電路的基礎(chǔ)上所改進的一種混合方法,即做到了上電復(fù)位,又可以在發(fā)生預(yù)料之外的問題時,隨時進行開關(guān)復(fù)位單片機[2]。</p><p>  單片機復(fù)位后的狀態(tài):</p><p>  表2-2 8051單片機復(fù)位后特殊功能寄存器的初始狀態(tài)</p><p>

19、;  注:表中的符號*為隨機狀態(tài)</p><p>  單片機的復(fù)位操作使單片機進入初始化過程,其中包括使程序計數(shù)器PC=0000H,P0~P3=FFH,SP=07H,其他寄存器處于零。這表明程序從0000H地址單元開始執(zhí)行。單片機復(fù)位后不改變片內(nèi)RAM區(qū)中的內(nèi)容,21個特殊功能寄存器復(fù)位后的狀態(tài)如表2-2所示。</p><p>  單片機的時鐘電路:在AT80C52單片機內(nèi)部,有一個高增益

20、反相放大器,用于構(gòu)成振蕩器。其輸入端接至單片機內(nèi)部,即XTAL1引腳;其輸出端接至單片機外部,即XTAL2。在XTAL1和 XTAL2兩端跨接一個晶振、兩個電容,構(gòu)成一個穩(wěn)定自激式振蕩電路。晶振一般取11.0592MHz,兩個電容通常取18-47pF。如圖2-2所示。</p><p>  2.3 超聲波測距原理</p><p>  超聲波測距的基本工作原理是測量超聲波在空氣中的傳播時間,由

21、超聲波傳播時間和傳播速度來確定距離障礙物的距離,即脈沖--回波方式。該方式的基本電路框圖如圖2-4 所示。由發(fā)射傳感器、發(fā)射電路、接收傳感器、接收放大電路、回波信號處理電路和單片機控制電路等幾部分組成。</p><p>  發(fā)射電路是一個工作頻率為40 khz的多諧振蕩器,多諧振蕩器受單片機控制,產(chǎn)生一定數(shù)量的發(fā)射脈沖,用于驅(qū)動超聲波發(fā)射傳感器,并激勵出超聲波在空氣中傳播,遇障礙物反射而返回。超聲波接收傳感器通過

22、壓電轉(zhuǎn)換的原理,將由障礙物返回的回波信號轉(zhuǎn)換成電信號,由于該信號幅度較小(幾到十幾毫伏),因此須由低噪聲放大、40khz帶通濾波電路將回波信號放大到一定幅度,且干擾成分較少,并由回波處理電路轉(zhuǎn)換成方波信號,送至單片機系統(tǒng)進行時間測量和距離的顯示。</p><p>  超聲波發(fā)射器向某一方向發(fā)射超聲波,在發(fā)射時刻的同時開始計時,超聲波在空氣中傳播,途中碰到障礙物就立即返回來,超聲波接收器收到反射波就立即停止計時。超

23、聲波在空氣中的傳播速度為340m/s,根據(jù)計時器記錄的時間t,就可以計算出發(fā)射點距障礙物的距離(s),即:s=ct/2。</p><p>  在啟動發(fā)射電路的同時啟動單片機內(nèi)部的定時器T0,利用定時器的計數(shù)功能記錄超聲波發(fā)射的時間和收到反射波的時間。當(dāng)收到超聲波反射波時,接收電路輸出端產(chǎn)生一個負跳變,在INT0端產(chǎn)生一個中斷請求信號,單片機響應(yīng)外部中斷請求,執(zhí)行外部中斷服務(wù)子程序,讀取時間差,計算距離,進而顯示距

24、離。</p><p>  根據(jù)設(shè)計要求并綜合各方面因素,可以采用STC89C52單片機作為主控制器,用動態(tài)掃描法實現(xiàn)數(shù)碼顯示,超聲波驅(qū)動信號用單片機的定時器完成。</p><p>  圖2-4 超聲波測距原理框圖</p><p>  2.4 CX20106A芯片介紹</p><p>  CX20106A紅外線遙控接收前置放大電路,多適用于電視

25、機。內(nèi)部電路由前置放大器,自動偏置電平控制電路(ABLC)、限幅放大器、帶通濾波器、峰值檢波器和波形整形電路等組成。CX20106A是CX20106的改進型,二者之間的主要差別在于電參數(shù)略有不同。CX20106A也有不少用于超聲波測試。引腳注釋如下:</p><p>  l腳:超聲波信號輸入端,該腳的輸入阻抗約為40kΩ。</p><p>  2腳:該腳與GND之間連接RC串聯(lián)網(wǎng)絡(luò),它們是

26、負反饋串聯(lián)網(wǎng)絡(luò)的一個組成部分,改變它們的數(shù)值能改變前置放大器的增益和頻率特性。增大電阻R或減小C,將使負反饋量增大,放大倍數(shù)下降,反之則放大倍數(shù)增大。但C的改變會影響到頻率特性,一般在實際使用中不必改動,推薦選用參數(shù)為R=4.7Ω,C=3.3μF。</p><p>  3腳:該腳與GND之間連接檢波電容,電容量大為平均值檢波,瞬間相應(yīng)靈敏度低;若容量小,則為峰值檢波,瞬間相應(yīng)靈敏度高,但檢波輸出的脈沖寬度變動大,

27、易造成誤動作,推薦參數(shù)為3.3μF。</p><p><b>  4腳:接地端。</b></p><p>  5腳:該腳與電源端VCC接入一個電阻,用以設(shè)置帶通濾波器的中心頻率f0,阻值越大,中心頻率越低。例如,取R=200kΩ時,fn≈42kHz,若取R=220kΩ,則中心頻率f0≈38kHz。</p><p>  6腳: 該腳與GND之間接

28、入一個積分電容,標準值為330pF,如果該電容取得太大,會使探測距離變短。</p><p>  7腳:遙控命令輸出端,它是集電極開路的輸出方式,因此該引腳必須接上一個上拉電阻到電源端,該電阻推薦阻值為22kΩ,沒有接收信號時該端輸出為高電平,有信號時則會下降。</p><p>  8腳: 電源正極,4.5V~5V。 </p><p><b>  三、系統(tǒng)設(shè)

29、計</b></p><p>  3.1 總體方案設(shè)計介紹</p><p>  超聲波測距利用超聲波指向性強、能量消耗緩慢、傳播距離較遠等優(yōu)點,即用超聲波發(fā)射器向某一方向發(fā)送超聲波,同時在發(fā)射的時候開始計時,在超聲波遇到障礙物的時候反射回來,超聲波接收器在接收到反射回來的超聲波時,停止計時。設(shè)超聲波在空氣中的傳播速度為V,在空氣中的傳播時間為T,與障礙物的距離為S,則S=VT/2

30、,這樣可以測出超聲波發(fā)射器與障礙物之間的距離,然后在數(shù)碼管上顯示出來。</p><p>  其工作機理是依據(jù)壓電材料的正逆壓電效應(yīng),利用逆壓電效應(yīng)產(chǎn)生超聲波,即逆壓電效應(yīng)是在壓電材料上加上某種特定頻率的交變正弦信號,材料就會產(chǎn)生隨所加電壓的變化規(guī)律而變化的機械形變,這種機械形變推動周圍介質(zhì)振動,產(chǎn)生疏密相間的機械波,如果其振動頻率在超聲范圍內(nèi),這種機械波就是超聲波。</p><p>  本

31、文所設(shè)計的超聲波測距儀主要由STC89C52單片機、超聲波發(fā)射電路、超聲波接收放大電路、顯示電路組成。</p><p>  首先由單片機驅(qū)動產(chǎn)生40KHZ方波,由超聲波發(fā)射探頭發(fā)送出去,在遇到障礙物反射回來時由超聲波接收探頭檢測到信號,然后經(jīng)過濾波、放大、整形之后送入單片機進行計算,把計算結(jié)果輸出到數(shù)碼管上。超聲波發(fā)生器可以分為兩大類:一類是用電氣方式產(chǎn)生超聲波;另一類是用機械方式產(chǎn)生超聲波。電氣方式包括壓電型、

32、電動型等;機械方式有加爾統(tǒng)笛、液 和氣流旋笛等。它們所產(chǎn)生的超聲波的頻率,功率和聲波特性各不相同,因而用途也各不相同。目前在近距離測量方面較為常用的是壓電式超聲波換能器。</p><p>  根據(jù)設(shè)計要求并綜合各方面因素,本例決定采用STC89C2單片機作為主控制器,用動態(tài)掃描法實現(xiàn)數(shù)碼顯示,超聲波驅(qū)動信號用單片機的定時器完成。超聲波測距器系統(tǒng)設(shè)計如圖3-1所示。</p><p>  圖3

33、-1 超聲波測距系統(tǒng)設(shè)計框圖</p><p>  3.2 單片機時鐘電路</p><p>  時鐘電路用于產(chǎn)生單片機工作所需要的時鐘信號,而時序所研究的是指令執(zhí)行中各信號之間的相互關(guān)系。單片機本身就如一個復(fù)雜的同步時序電路,為了保證同步工作方式的實現(xiàn),電路應(yīng)在唯一的時鐘信號控制下嚴格地作。</p><p> ?。?)時鐘信號的產(chǎn)生</p><p

34、>  單片機內(nèi)部有一個高增益反相放大器,其輸入端為芯片引腳XTAL1,其輸出端為引腳XTAL2。而在芯片的外部,XTAL1和XTAL2之間跨接晶體振蕩器和微調(diào)電容,從而構(gòu)成一個穩(wěn)定的自激振蕩器。</p><p>  電容器C1和C2的作用是穩(wěn)定頻率和快速起振,電容值的范圍在5pF∽30pF,典型值為30pF。晶振的頻率通常選擇兩種6MHz和12MHz。只要在單片機的XTAL1和XTAL2引腳外接晶體振蕩器就

35、構(gòu)成了自激振蕩器并在單片機內(nèi)部產(chǎn)生時鐘脈沖信號。</p><p>  (2)時鐘振蕩電路如圖3-2所示。</p><p>  3.3 單片機復(fù)位電路</p><p>  復(fù)位電路是使單片機的CPU或系統(tǒng)中的其他部件處于某一確定的初始狀態(tài),并從這個狀態(tài)開始工作。</p><p>  (1)單片機常見的復(fù)位電路</p><p&

36、gt;  通常單片機復(fù)位電路有兩種:上電復(fù)位電路和按鍵復(fù)位電路。上電復(fù)位電路:上電復(fù)位是單片機上電時復(fù)位操作,保證單片機上電后立即進入規(guī)定的復(fù)位狀態(tài)。它利用的是電容充電的原理來實現(xiàn)的。按鍵復(fù)位電路:它不僅具有上電復(fù)位電路的功能,同時它的操作比上電復(fù)位電路的操作要簡單的多。如果要實現(xiàn)復(fù)位的話,只要按下RESET鍵即可。它主要是利用電阻的分壓來實現(xiàn)的</p><p>  在此設(shè)計中,采用的按鍵復(fù)位電路。按鍵復(fù)位電路如

37、圖3-3所示:</p><p> ?。?)復(fù)位電路工作原理</p><p>  上電復(fù)位要求接通電源后,單片機自動實現(xiàn)復(fù)位操作。上電瞬間RESET引腳獲得高電平,隨著電容的充電,RERST引腳的高電平將逐漸下降。RERST引腳的高電平只要能保持足夠的時間(2個機器周期),單片機就可以進行復(fù)位操作。</p><p>  上電與按鍵均有效的復(fù)位電路不僅在上電時可以自動復(fù)

38、位,而且在單片機運行期間,利用按鍵也可以完成復(fù)位操作。</p><p>  故本設(shè)計選用第二種上電復(fù)位與按鍵均有效的電路。</p><p>  3.4 超聲波發(fā)射電路</p><p>  圖3-4 超聲波發(fā)射原理圖 圖3-5 NE555發(fā)射波形圖</p><p>  發(fā)射電路由555定時器構(gòu)成的

39、高頻振蕩器產(chǎn)生40kHz方波,經(jīng)過驅(qū)動電路驅(qū)動超聲波發(fā)生器發(fā)出一簇信號,同時開始計時。本電路中采用555定時器構(gòu)成振蕩電路,2腳(6腳)及地之間的電容不斷的進行充、放電,導(dǎo)致555時基電路處于置位與復(fù)位反復(fù)交替的狀態(tài),即輸出端3腳交替輸出高電平與低電平,輸出波形為近似矩形波,此電路也稱為自激多諧振蕩器。</p><p>  電路初次通電時,由于電容C1兩端電壓不能突變,555的2腳為低電平,555時基電路置位,即

40、3腳輸出高電平,內(nèi)部放電晶體管截止,7腳被懸空,此時正電源VDD通過電阻R1、R2向電容C1充電,使C1兩端電壓不斷升高,約經(jīng)時間TH ,C1兩端電壓即閾值端(6腳)電平升至2VDD/3時,555時基電路翻轉(zhuǎn)復(fù)位,3腳輸出低電平,同時內(nèi)部放電晶體管導(dǎo)通,7腳也為低電平,此時電容C1儲存電荷將通過R2向7腳放電,使C1兩端電壓即555的觸發(fā)端2腳電平不斷下降,約經(jīng)TL時間,電壓降至VDD/3時,555時基電路又翻轉(zhuǎn)置位,3腳又輸出高電平,

41、7腳再次被懸空,正電源又通過R1, R2向C1充電,如此周而復(fù)始,電容C1不斷處于充電與放電狀態(tài),電路引起振蕩,3腳將交替輸出高電平和低電平。</p><p>  3.5 超聲波接收電路</p><p>  圖3-6 超聲波接收原理圖</p><p>  超聲波接收是用來將探測波回波的聲能轉(zhuǎn)換為電信號,實現(xiàn)超聲波回波的接收。在被測物距離較遠的情況下回波很弱,要求將信

42、號多次放大,放大后的信號整形、比較、觸發(fā)后產(chǎn)生中斷信號,此中斷信號向CPU發(fā)中斷請求,執(zhí)行中斷服務(wù)程序中,讀取計時器的定時值。</p><p>  此電路由信號放大部分,檢波部分,電壓比較部分和信號保持部分組成,收到的信號經(jīng)過兩級放大,再通過倍壓式峰值檢波電路檢波,得到一個基本穩(wěn)定的信號,再通過與電壓比較器比較,若信號電壓大于參考電壓,則輸出高電平,若低于參考電壓則輸出為低電平,若輸出高電平,則RS觸發(fā)器觸發(fā),輸

43、出高電平,且一直保持下去,直到單片機給出控制信號,觸發(fā)器回到低電平狀態(tài)。超聲波從發(fā)射到接收時間間距的測量,是由單片機內(nèi)部的計數(shù)器(如T0)來完成的 。超聲波從發(fā)射到接收的時間間隔的測量, 是由單片機內(nèi)部的計數(shù)器(如T 0) 來完成的。在CPU 停止發(fā)送脈沖群后, 由于電阻尼, 換能器不會立即停止發(fā)送超聲波, 在一定時間內(nèi)仍然發(fā)送。這段時間的存在使系統(tǒng)不能夠測量比較近的物體, 形成所謂的“盲區(qū)”, 需要對盲區(qū)時間產(chǎn)生的信號進行屏蔽, 不同

44、性能的超聲波換能器的盲區(qū)有所差異, 以一個通道工作的時序為例進行說明, 如圖3-7 所示。</p><p>  圖3-7 一個通道的工作時序</p><p><b>  四、設(shè)計流程圖</b></p><p><b>  4.1 主流程圖</b></p><p>  圖4-1 主程序流程圖<

45、/p><p>  4.2 數(shù)據(jù)計算流程</p><p>  圖4-2 數(shù)據(jù)計算流程</p><p><b>  4.3 顯示流程</b></p><p><b>  圖4-3 顯示流程</b></p><p><b>  五、誤差分析</b></p&g

46、t;<p>  5.1 超聲波回波聲強的影響</p><p>  回波的聲強與障礙物距離的遠近有直接關(guān)系, 實際測量時, 不一定是第一個回波的過零點觸發(fā), 其原理如圖5-1 所示。這種誤差不能從根本上消除, 但是可以通過根據(jù)障礙物的距離調(diào)整脈沖群的脈沖個數(shù)以及動態(tài)調(diào)整比較電壓來減小這種誤差。另一方面將求距離公式后加一個補償系數(shù)來補償計時誤差,(a 與距離、脈沖個數(shù)相關(guān)) 。</p>&

47、lt;p>  圖5-1 脈沖個數(shù)與回波聲強對計時影響示意圖</p><p>  5.2 超聲波波束入射角的影響</p><p>  如果系統(tǒng)是用來測量面與點的距離, 則被測物、換能器及換能器所在測量參考平面三者之間存在一個幾何角度, 即反射波入射到換能器的角度, 當(dāng)這</p><p>  角度不是90°時, 系統(tǒng)測量到的距離是障礙物與換能器之間的距離

48、而不是和</p><p>  量參考平面之間的距離, 這就會造成測量誤差, 如圖5-2 所示。</p><p>  圖5-2 超聲波回波入射角影響分析圖</p><p>  當(dāng)障礙物的距離較小時, 這個誤差就會成為近距離時的主要誤差來源??梢杂枚鄠€換能器同時測量, 利用幾何關(guān)系來計算得出實際距離, 消除這種誤差。</p><p>  …………

49、………………………7.1</p><p>  ……………………………………7.2</p><p>  式中 ----換能器a 、b到被測物的距離</p><p>  ----換能器a、b之間的距離</p><p>  -----被測物到測量的距離</p><p>  5.3 超聲波傳播速度的影響</p>

50、;<p>  穩(wěn)定準確的聲波傳播速度是保證測量精度的必要條件, 傳播介質(zhì)的溫度、壓力及密度對聲速都產(chǎn)生直接影響。采用聲速預(yù)置和傳播介質(zhì)溫度測量結(jié)合的方法對聲速進行修正, 可有效地降低溫度變化產(chǎn)生的誤差。在對距離的精確度要求不高的應(yīng)用中可以不進行溫度補償, 選擇室溫20℃左右時的聲速340m/s作為固定參數(shù), 當(dāng)溫度在- 10~ 40 ℃之間變化時聲速誤差在±5% 之間。如果在室外測量, 對于季節(jié)溫差大的地區(qū), 還

51、可以采用預(yù)置該地區(qū)12 個月的統(tǒng)計溫度, 用以對溫度進行補償, 既可提高精度, 又不增加成本。在實際應(yīng)用中可以根據(jù)系統(tǒng)測量精度要求, 采用合理的補償手段。</p><p><b>  5.4 實測比</b></p><p>  六、心得體會 </p><p>  在本次設(shè)計中,我們廣泛借鑒了各種設(shè)計的優(yōu)點,充分考慮了整個設(shè)計中的各個環(huán)節(jié)。

52、包括產(chǎn)生40KHz的方波,在接收電路中,對所接收方波進行濾波、放大、整形等步驟。但由于條件和技術(shù)所限,對于很多以上所分析的在發(fā)射和接收過程中所產(chǎn)生的誤差沒有得到有效的校正。比如溫度誤差、硬件電路誤差等。</p><p>  在我們?yōu)槠谝粋€星期的設(shè)計中,我們用到了以前學(xué)到的很多知識,比如電工、單片機、和匯編語言等。這使我們意識到,任何一件產(chǎn)品的產(chǎn)生,都不是單一知識所能實現(xiàn)的。而且在電路的設(shè)計和程序的編制過程中,出現(xiàn)

53、了很多意想不到的錯誤,讓我們措手不及,有些甚至是一些非常低級的錯誤,但是這些錯誤也同樣讓我們獲益非淺,它使我們意識到,研究是一個非常嚴肅的過程,來不得半點馬虎。必須有一個嚴謹?shù)膽B(tài)度,加上100%的努力才有可能獲得成功的喜悅。</p><p>  總之,在本課題的設(shè)計過程中盡管走了很多的彎路,但是還是學(xué)到了不少知識,從中受益匪淺。了解了超聲波傳感器的原理,學(xué)會了各種放大電路的分析、設(shè)計,也掌握了單片機的開發(fā)過程中所

54、用到的開發(fā)方法和工具。動手能力與自學(xué)能力得到了鍛煉與提高,對待事物的態(tài)度也發(fā)生了變化。理論總是離不開實踐的,設(shè)計制作過程中,盲目地追尋理論知識根本不足以解決任何問題,一味的死研究課本是不會真正掌握單片機的。只有真正動手去做才能發(fā)現(xiàn)問題,解決問題,提高能力。</p><p>  最后,本次課程設(shè)計的完成,離不開指導(dǎo)老師在整個過程中的悉心指導(dǎo),也離不開同學(xué)們在焊接和調(diào)試過程中的大力支持,在這里對他們表示真誠的感謝和由

55、衷的敬意。</p><p><b>  參考資料</b></p><p>  [1] 《單片機原理與應(yīng)用設(shè)計》.張毅剛、彭喜元著.電子工業(yè)出版社.2010年</p><p>  [2]《MCS-51 系列單片機應(yīng)用系統(tǒng)設(shè)計系統(tǒng)配置與接口技術(shù)》. 何立民著.北京航空航天大學(xué)出版社.1990年</p><p>  [3]《單

56、片機應(yīng)用技術(shù)》 劉守義著.西安電子科技大學(xué)出版社.2003年</p><p>  [4]《單片機C程序設(shè)計指導(dǎo)》. 李光飛著.北京航空航天大學(xué)出版社,2003年</p><p><b>  附 錄</b></p><p>  OUT BIT P1.2 ;發(fā)射控制</p><p>  BUT BIT P3.3&

57、lt;/p><p><b>  ORG 0000H</b></p><p><b>  SJMP STA</b></p><p><b>  ORG 0003H</b></p><p>  LJMP INTX0</p><p><b>  ORG

58、000BH</b></p><p>  LJMP INTT0</p><p><b>  STA:</b></p><p><b>  CLR OUT</b></p><p>  MOV TMOD,#22H </p><p>  MOV TH1,#0F3H

59、 ;波特率2400</p><p>  MOV TL1,#0F3H</p><p>  ANL PCON,#7FH</p><p>  MOV SCON,#50H</p><p>  MOV TH0,#197 ;定時58.8uS</p><p>  MOV TL0,#197</p><p>

60、;<b>  SETB EA</b></p><p><b>  SETB ET0</b></p><p><b>  SETB IT0</b></p><p><b>  SETB TR1</b></p><p>  ST0:JB BUT,ST0<

61、/p><p><b>  MOV R0,#0</b></p><p><b>  DJNZ R0,$</b></p><p><b>  JB BUT,$</b></p><p>  ST1:JNB BUT,$</p><p><b>  CLR

62、P1.4</b></p><p><b>  CLR F0</b></p><p><b>  CLR EX0</b></p><p><b>  CLR TR0</b></p><p>  MOV TL0,#197</p><p>  MO

63、V DPTR,#0 ;存放結(jié)果</p><p>  SETB OUT ;發(fā)射8-10個周期40KHZ超聲波</p><p><b>  SETB TR0</b></p><p>  LCALL DELY </p><p><b>  CLR OUT</b></p>

64、<p><b>  SETB EX0</b></p><p>  JNB P1.4,$</p><p>  ;顯示程序,41h為最高位,43h為最低位</p><p>  DISPLAY:MOV R1,#41H</p><p>  MOV R5,#0FDH</p><p>  PLAY

65、:MOV A,R5</p><p>  MOV P0,#0FFH</p><p><b>  MOV P2,A</b></p><p><b>  MOV A,@R1</b></p><p>  MOV DPTR, #AAA</p><p>  MOVC A,@

66、A+DPTR</p><p><b>  MOV P0,A</b></p><p>  LCALL DL1MS</p><p>  MOV R1,#42H</p><p>  MOV A,#0FBH</p><p>  MOV P0,#0FFH</p><p><b&

67、gt;  MOV P2,A</b></p><p><b>  MOV A,@R1</b></p><p>  MOV DPTR, #TAB</p><p>  MOVC A,@A+DPTR</p><p><b>  MOV P0,A</b></p><p> 

68、 LCALL DL1MS</p><p>  MOV R1,#43H</p><p>  MOV A,#0F7H</p><p>  MOV P0,#0FFH</p><p><b>  MOV P2,A</b></p><p><b>  MOV A,@R1</b><

69、/p><p>  MOV DPTR, #TAB</p><p>  MOVC A,@A+DPTR</p><p><b>  MOV P0,A</b></p><p>  LCALL DL1MS</p><p>  JNB BUT,ST1</p><p>  LJMP DISP

70、LAY </p><p><b>  LJMP ST0</b></p><p>  AAA: DB 40H,79H,24H,30H,19H,12H,02H,78H,00H,10H</p><p>  TAB: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H</p><p

71、>  DL1MS:MOV R6,#14H</p><p>  DL1:MOV R7,#19H</p><p><b>  DJNZ R7,$</b></p><p>  DJNZ R6,DL1</p><p><b>  RET</b></p><p>  INTX0:

72、JB F0,INTX1 ;第一次中斷為盲區(qū)中斷,第2次為有效,關(guān)閉第3次。</p><p><b>  CPL F0</b></p><p>  LJMP INTX2</p><p>  INTX1:CLR TR0</p><p>  MOV SBUF,DPH</p><p>

73、;<b>  JNB TI,$</b></p><p><b>  CLR TI</b></p><p>  MOV SBUF,DPL</p><p><b>  JNB TI,$</b></p><p><b>  CLR TI</b></p>

74、;<p><b>  CLR EX0</b></p><p><b>  SETB P1.4</b></p><p><b>  MOV A,DPL</b></p><p>  MOV B,#100</p><p><b>  DIV AB</b&

75、gt;</p><p><b>  MOV 41H,A</b></p><p><b>  MOV A,B</b></p><p><b>  MOV B,#10</b></p><p><b>  DIV AB</b></p><p&

76、gt;<b>  MOV 42H,A</b></p><p><b>  MOV 43H,B</b></p><p>  BBB:ANL DPH,#01H</p><p><b>  MOV A,DPH</b></p><p>  CJNE A,#01H,intx2</p

77、><p><b>  MOV A,43H</b></p><p>  ADD A,#06H</p><p><b>  DA A</b></p><p><b>  MOV 43H,A</b></p><p>  ANL 43H,#0FH</p>

78、<p><b>  SWAP A</b></p><p>  ANL A,#0FH</p><p>  MOV R3,A ;十位要加r3,再加5</p><p><b>  MOV A,42H</b></p><p><b>  ADD A,R3</b>&

79、lt;/p><p><b>  DA A</b></p><p><b>  MOV 42H,A</b></p><p>  ANL 42H,#0FH</p><p><b>  SWAP A</b></p><p>  ANL A,#0FH</p&g

80、t;<p>  MOV R2,A ;百位要加r2再加2</p><p>  MOV A,42H </p><p>  ADD A,#05H</p><p><b>  DA A</b></p><p><b>  MOV 42H,A</b></p>&l

81、t;p>  ANL 42H,#0FH</p><p><b>  SWAP A</b></p><p>  ANL A,#0FH</p><p>  MOV R4,A ;百位要加r4,r2和2</p><p><b>  MOV A,41H</b></p><p>

82、  ADD A,#02H</p><p><b>  ADD A,R4</b></p><p><b>  ADD A,R2</b></p><p>  MOV 41H,A </p><p>  INTX2:RETI</p><p>  INTT0:INC DPTR<

83、/p><p><b>  RETI</b></p><p>  DELY:MOV R0,#100</p><p><b>  DJNZ R0,$</b></p><p><b>  RET</b></p><p><b>  END <

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論