2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩17頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p>  課程設(shè)計(jì)說(shuō)明書(論文)</p><p>  題 目 交通信號(hào)燈模擬控制系統(tǒng)的設(shè)計(jì) </p><p>  課 程 名 稱 微機(jī)原理及應(yīng)用A </p><p>  院(系、部、中心) 電力學(xué)院 </p><p>  專 業(yè) 電氣工程及其自動(dòng)化 </p>

2、;<p>  班 級(jí) 電力093 </p><p>  設(shè)計(jì)起止時(shí)間:2012 年 6 月 4 日 至 10 日</p><p>  目 錄</p><p><b>  一、課程設(shè)計(jì)任務(wù)書</b></p><p> ?。ㄒ唬┱n程設(shè)計(jì)應(yīng)達(dá)到的目的----

3、----------------------------------3</p><p>  (二)課程設(shè)計(jì)題目及要求----------------------------------------3</p><p> ?。ㄈ┱n程設(shè)計(jì)任務(wù)及工作量的要求--------------------------------4</p><p> ?。ㄋ模┲饕獏⒖嘉墨I(xiàn)-----

4、-----------------------------------------4</p><p>  (五)課程設(shè)計(jì)進(jìn)度安排------------------------------------------5</p><p> ?。┏煽?jī)考核--------------------------------------------------5</p><p>

5、;<b>  二、課程設(shè)計(jì)說(shuō)明書</b></p><p>  (一)課程設(shè)計(jì)目的------------------------------------------6</p><p> ?。ǘ┱n程設(shè)計(jì)實(shí)驗(yàn)設(shè)備--------------------------------------6</p><p> ?。ㄈ┰O(shè)計(jì)思路與功能描述------

6、------------------------------6</p><p> ?。ㄋ模╇娐吩韴D及其說(shuō)明------------------------------------7</p><p> ?。ㄎ澹┙Y(jié)構(gòu)框圖----------------------------------------------9</p><p>  (六)系統(tǒng)調(diào)試中的問(wèn)題和解決辦法-

7、---------------------------9</p><p>  (七)運(yùn)行情況和結(jié)論----------------------------------------9</p><p> ?。ò耍┰闯绦蚯鍐?-------------------------------------------9</p><p>  (九)心得與體會(huì)-----------

8、--------------------------------16</p><p><b>  三、附錄:</b></p><p>  (一)交通燈模擬圖-----------------------------------------16</p><p> ?。ǘ﹨⒖嘉墨I(xiàn)----------------------------------

9、-----------17</p><p><b>  課程設(shè)計(jì)任務(wù)書</b></p><p><b>  課程設(shè)計(jì)說(shuō)明書</b></p><p><b>  一、課程設(shè)計(jì)的目的</b></p><p>  1 掌握可編程接口芯片8255、8253的應(yīng)用和初始化編程方法。

10、 </p><p>  2 進(jìn)一步掌握8086/88匯編語(yǔ)言程序設(shè)計(jì)方法。</p><p>  3 學(xué)習(xí)并掌握多重循環(huán)嵌套程序的設(shè)計(jì),掌握指令執(zhí)行時(shí)間的計(jì)算和軟件延時(shí)程序的設(shè)計(jì)。</p><p><b>  二、實(shí)驗(yàn)的設(shè)備</b></p><p>  1 裝有星研集成環(huán)境軟件的計(jì)算機(jī)一臺(tái) </

11、p><p>  2 Star實(shí)驗(yàn)箱一臺(tái)</p><p>  三、設(shè)計(jì)思路與功能描述</p><p><b>  1、設(shè)計(jì)要求: </b></p><p>  設(shè)計(jì)一個(gè)十字路口的交通信號(hào)燈(由實(shí)驗(yàn)儀的LED發(fā)光二極管模擬)。</p><p>  采用8255 PA口輸出控制信號(hào)燈,8255 PC0輸

12、入K8控制開關(guān)信號(hào);</p><p>  用8253硬件定時(shí),軟件查詢方式實(shí)現(xiàn)如下功能:</p><p>  白天模式:①東西方向和南北方向各放行10秒。綠燈轉(zhuǎn)紅燈前黃燈閃爍4秒。</p><p> ?、贚ED數(shù)碼顯示器顯示倒數(shù)計(jì)時(shí),從9倒數(shù)到0;倒數(shù)到4時(shí)黃燈亮。</p><p>  夜間模式:兩個(gè)方向黃燈閃爍,綠燈和紅燈不亮。</p

13、><p><b>  2、設(shè)計(jì)思路:</b></p><p><b> ?。?)8255:</b></p><p><b>  分析:</b></p><p>  本設(shè)計(jì)中,交通信號(hào)燈的燈光變化和數(shù)碼顯示通過(guò)8255實(shí)現(xiàn)控制。</p><p>  PA口用于

14、輸出信號(hào)控制燈光的變化(D6D5=00,D4=0),PB口用于輸出信號(hào)控制數(shù)碼管的顯示(D2=0,D1=0),PC0用于輸入K8的控制開關(guān)信號(hào),PC1用于輸入用于硬件延時(shí)的方波信號(hào)(D0=1)。PC7用于輸出控制數(shù)碼管工作/不工作的信號(hào)(D3=0)。故寫入方式控制字為10000001B=81H。</p><p>  8255輸入/輸出信號(hào)如下表所示:</p><p>  燈光顯示:送低電平

15、則燈亮,送高電平則燈滅。</p><p>  b.LED數(shù)碼顯示:數(shù)碼管采用共陰極接法,位選信號(hào)為0則數(shù)碼管工作。</p><p>  a~dp段發(fā)亮條件:對(duì)應(yīng)位輸入1,見下表所示:</p><p>  白天模式:數(shù)碼管工作,PC7送0,即應(yīng)輸入0×××××××B</p><p

16、>  夜晚模式:數(shù)碼管工作,PC7送1,即應(yīng)輸入1×××××××B</p><p><b> ?。?)8253:</b></p><p> ?、俳煌ㄐ盘?hào)燈亮、滅的時(shí)間及數(shù)碼管的倒計(jì)時(shí)間隔都通過(guò)8253控制。</p><p>  8253工作方式3,通道0,采用10進(jìn)制(

17、BCD=1),分頻系數(shù)設(shè)置為1953,故初始化時(shí)命令字為00110111B=37H。CLK0接3906Hz時(shí)鐘信號(hào),GATE0接高電平,則OUT0輸出為頻率為2Hz方波。OUT0接8255-PC1。</p><p>  主程序通過(guò)查詢8255-PC1的狀態(tài),獲知準(zhǔn)確的定時(shí)信息。</p><p>  (3)白天和夜間模式的切換:</p><p>  通過(guò)8255讀取P

18、C0的狀態(tài)后,用軟件判斷是執(zhí)行白天還是夜間模式。</p><p>  使用IN指令,將PC的狀態(tài)送入寄存器AL,將PC70狀態(tài)送入了AL的D0中。由于只需判斷PC0的值,故將AL同00000001B相與。使用JZ判斷,若結(jié)果為1,即PC0為0,則轉(zhuǎn)白天模式,否則順序執(zhí)行夜間模式。</p><p>  通過(guò)使用循環(huán)語(yǔ)句,使得每執(zhí)行完一次白天或夜間模式,都重新對(duì)PC0的狀態(tài)進(jìn)行一次判斷。一旦撥

19、動(dòng)切換開關(guān)K8,就能及時(shí)切換交通燈運(yùn)行模式。</p><p><b>  (4)硬件延時(shí):</b></p><p>  讀取PC1的狀態(tài),判斷是否為0,直到發(fā)生跳變?yōu)?,此過(guò)程執(zhí)行時(shí)間為半個(gè)周期即0.25s。繼續(xù)讀取PC1的狀態(tài),判斷是否為1,直到發(fā)生跳變?yōu)?,此過(guò)程執(zhí)行時(shí)間為半個(gè)周期即0.25s。故判斷PC1發(fā)生兩次跳變的程序執(zhí)行一次所用時(shí)間就為0.5s。將此作為延

20、遲子程序,實(shí)現(xiàn)延時(shí)的效果。</p><p><b>  3、功能描述:</b></p><p> ?。?)假設(shè)在一個(gè)A道(東西方向)和B道(南北方向)交叉的十字路口安裝有自動(dòng)信號(hào)燈。當(dāng)A道和B道均有車輛要求通過(guò)時(shí),A道和B道輪流放行。A道放行10秒鐘,B道再放行10秒鐘,依次輪流。綠燈轉(zhuǎn)換紅燈前黃燈閃爍4s。</p><p> ?。?)夜間工作

21、時(shí)A道(東西方向)和B道(南北方向)只有黃燈閃爍。用一開關(guān)控制白天黑夜。</p><p>  四、電路原理圖及其說(shuō)明</p><p>  8255:PA口,輸出,控制LED燈</p><p>  PB口,輸出,控制數(shù)碼管顯示數(shù)字</p><p>  PC0,輸入,反映開關(guān)狀態(tài)</p><p>  PC1,輸入,送入82

22、53產(chǎn)生的2Hz方波</p><p>  PC7,輸出,控制數(shù)碼管工作與否</p><p>  8253:OUT0,輸出,輸出方波給8255</p><p>  CLK0,輸入,送入固有頻率3906Hz</p><p><b>  電路圖如下:</b></p><p><b>  電路接

23、線實(shí)物圖:</b></p><p><b>  五、結(jié)構(gòu)框圖</b></p><p><b> ?。?)主程序:</b></p><p><b>  Y</b></p><p><b>  N</b></p><p>&

24、lt;b>  。</b></p><p><b>  。</b></p><p><b>  。</b></p><p><b>  。</b></p><p>  (2)硬件延時(shí)0.5秒鐘子程序:</p><p><b> 

25、 Y</b></p><p><b>  N</b></p><p><b>  Y</b></p><p><b>  N</b></p><p>  六、系統(tǒng)調(diào)試中的問(wèn)題和解決辦法</p><p> ?。?)遇到的問(wèn)題:剛開始調(diào)試的時(shí)候程

26、序能編譯成功,但運(yùn)行起來(lái)燈閃爍錯(cuò)亂,數(shù)碼管顯示也不對(duì)。</p><p>  解決的辦法:檢查發(fā)現(xiàn),接線端口插錯(cuò)位,導(dǎo)致與程序不一致。改正接口后,運(yùn)行結(jié)果就基本正確了。</p><p> ?。?)遇到的問(wèn)題:程序有錯(cuò)誤,顯示超出范圍。 </p><p>  解決的方法:因程序太長(zhǎng),無(wú)法跳回,我們?cè)诔绦蛑虚g設(shè)了幾個(gè)站點(diǎn),如aa,bb,cc,用JMP無(wú)條件轉(zhuǎn),解決了該問(wèn)題

27、。</p><p><b>  七、運(yùn)行情況和結(jié)論</b></p><p> ?、俦敬卧O(shè)計(jì)基本完成了任務(wù)書中所要求的功能,在此基礎(chǔ)上還達(dá)到了撥動(dòng)開關(guān)能立即轉(zhuǎn)換狀態(tài)的功能。</p><p><b> ?、谟写倪M(jìn)之處:</b></p><p>  延時(shí)程序不精確,最大存在0.5s的誤差。</p&

28、gt;<p><b>  八、源程序清單</b></p><p>  code segment</p><p>  assume cs:code</p><p>  go:mov al,10000001b ;8255初始化</p><p>  mov dx,0f003h</p>&

29、lt;p><b>  out dx,al</b></p><p>  mov al,00110111b ;8253初始化</p><p>  mov dx,0e003h</p><p><b>  out dx,al</b></p><p>  mov al,53h

30、 ;使用硬件8253延時(shí)0.5秒鐘</p><p>  mov dx,0e000h</p><p><b>  out dx,al</b></p><p>  mov al,19h</p><p><b>  out dx,al</b></p><p>  l: m

31、ov dx,0f002h ;判斷工作在夜間1/白天0</p><p><b>  in al,dx</b></p><p>  and al,01h</p><p>  je ll ;結(jié)果為0則轉(zhuǎn)白天</p><p>  mov al, 80h ;

32、未選中PC7,不工作</p><p>  mov dx,0f002h</p><p><b>  out dx,al</b></p><p>  mov al,10111011b ;夜間黃燈閃爍,使燈全都亮</p><p>  mov dx,0f000h</p><p><b&g

33、t;  out dx,al</b></p><p>  call delay</p><p>  mov al,11111111b ;使得燈都滅掉</p><p>  mov dx,0f000h</p><p><b>  out dx,al</b></p><p>  c

34、all delay</p><p><b>  jmp l</b></p><p>  ll: mov dx,0f002h ;選中PC7,工作</p><p>  mov al,7fh</p><p><b>  out dx,al</b></p><p>

35、  mov al,01101111b ;南北綠燈,東西紅燈 (9s-4s)</p><p>  mov dx,0f000h</p><p><b>  out dx,al</b></p><p><b>  call a</b></p><p>  call b

36、 ;南北黃燈,東西紅燈(3s-1s閃爍)</p><p>  mov al,11010111b ;南北紅燈,東西綠燈(9s-4s)</p><p>  mov dx,0f000h</p><p><b>  out dx,al</b></p><p><b>  call a</b>&

37、lt;/p><p>  call c ;南北紅燈,東西黃燈(3s-1s閃爍)</p><p><b>  jmp l</b></p><p>  mov ah,4ch</p><p><b>  int 21h</b></p><p>  delay

38、 proc ;8253延時(shí)0.5秒鐘</p><p>  m: mov dx,0f002h ;PC1接8253的OUT0</p><p><b>  in al,dx</b></p><p>  and al,02h</p><p>  jz m

39、 ;等于"0"時(shí)則轉(zhuǎn),等待高電平</p><p>  n: mov dx,0f002h</p><p><b>  in al,dx</b></p><p>  and al,02h</p><p>  jnz n ;等于"1&quo

40、t;時(shí)則轉(zhuǎn),等待低電平</p><p><b>  ret</b></p><p>  delay endp</p><p>  aa: jmp l ;無(wú)條件轉(zhuǎn)l</p><p><b>  a proc</b></p><p&g

41、t;  mov al,6fh ;數(shù)碼管顯示"9"</p><p>  mov dx,0f001h</p><p><b>  out dx,al</b></p><p>  call delay ;共延時(shí)1s</p><p&

42、gt;  call delay</p><p>  mov dx,0f002h ; 判斷工作在夜間1/白天0</p><p><b>  in al,dx</b></p><p>  and al,01h</p><p><b>  jnz l</b></p&g

43、t;<p>  mov al,7fh ;數(shù)碼管顯示"8"</p><p>  mov dx,0f001h</p><p><b>  out dx,al</b></p><p>  call delay ;共延時(shí)1s</p&

44、gt;<p>  call delay</p><p>  mov dx,0f002h ; 判斷工作在夜間1/白天0</p><p><b>  in al,dx</b></p><p>  and al,01h</p><p><b>  cmp al,0h&l

45、t;/b></p><p>  jnz aa ;為1轉(zhuǎn)aa</p><p>  mov al,07h ;數(shù)碼管顯示"7"</p><p>  mov dx,0f001h</p><p><b>  out dx,al

46、</b></p><p>  call delay</p><p>  call delay</p><p>  mov dx,0f002h</p><p><b>  in al,dx</b></p><p>  and al,01h</p><p><

47、b>  cmp al,0h</b></p><p><b>  jnz aa</b></p><p>  mov al,7dh ;數(shù)碼管顯示"6"</p><p>  mov dx,0f001h</p><p><b>  out dx

48、,al</b></p><p>  call delay</p><p>  call delay</p><p>  mov dx,0f002h</p><p><b>  in al,dx</b></p><p>  and al,01h</p><p>&

49、lt;b>  cmp al,0h</b></p><p><b>  jnz aa</b></p><p><b>  jmp z</b></p><p>  bb: jmp aa</p><p>  z: mov al,6dh ;數(shù)碼管顯示

50、"5"</p><p>  mov dx,0f001h</p><p><b>  out dx,al</b></p><p>  call delay</p><p>  call delay</p><p>  mov dx,0f002h</p><p&

51、gt;<b>  in al,dx</b></p><p>  and al,01h</p><p><b>  cmp al,0h</b></p><p><b>  jnz aa</b></p><p>  mov al,66h ;數(shù)碼

52、管顯示"4"</p><p>  mov dx,0f001h</p><p><b>  out dx,al</b></p><p>  call delay</p><p>  call delay</p><p>  mov dx,0f002h</p><

53、;p><b>  in al,dx</b></p><p>  and al,01h</p><p><b>  cmp al,0h</b></p><p><b>  jnz bb</b></p><p><b>  ret</b></p&g

54、t;<p><b>  a endp</b></p><p><b>  b proc</b></p><p>  mov al,4fh ;數(shù)碼管顯示"3"</p><p>  mov dx,0f001h</p><p>

55、<b>  out dx,al</b></p><p>  mov al,10101111b ;南北黃燈亮</p><p>  mov dx,0f000h</p><p><b>  out dx,al</b></p><p>  call delay</p>

56、<p>  mov al,11101111b ;南北黃燈暗</p><p>  mov dx,0f000h</p><p><b>  out dx,al</b></p><p>  call delay</p><p>  mov dx,0f002h</p><

57、;p><b>  in al,dx</b></p><p>  and al,01h</p><p><b>  cmp al,0h</b></p><p><b>  jnz bb</b></p><p>  mov al,5bh

58、 ;數(shù)碼管顯示"2"</p><p>  mov dx,0f001h</p><p><b>  out dx,al</b></p><p>  mov al,10101111b ;南北黃燈亮</p><p>  mov dx,0f000h</p>&l

59、t;p><b>  out dx,al</b></p><p>  call delay</p><p>  mov al,11101111b ;南北黃燈暗</p><p>  mov dx,0f000h</p><p><b>  out dx,al</b>&

60、lt;/p><p>  call delay</p><p>  mov dx,0f002h</p><p><b>  in al,dx</b></p><p>  and al,01h</p><p><b>  cmp al,0h</b></p><p&

61、gt;<b>  jnz bb</b></p><p><b>  jmp y</b></p><p>  cc: jmp bb</p><p>  y: mov al,06h ;數(shù)碼管顯示"1"</p><p>  mov dx,0f00

62、1h</p><p><b>  out dx,al</b></p><p>  mov al,10101111b ;南北黃燈亮</p><p>  mov dx,0f000h</p><p><b>  out dx,al</b></p><p&g

63、t;  call delay</p><p>  mov al,11101111b ;南北黃燈暗</p><p>  mov dx,0f000h</p><p><b>  out dx,al</b></p><p>  call delay</p><p>  mo

64、v dx,0f002h</p><p><b>  in al,dx</b></p><p>  and al,01h</p><p><b>  jnz cc</b></p><p>  mov al,3fh ;數(shù)碼管顯示"0"<

65、/p><p>  mov dx,0f001h</p><p><b>  out dx,al</b></p><p>  mov al,10101111b ;南北黃燈亮</p><p>  mov dx,0f000h</p><p><b>  out dx,

66、al</b></p><p>  call delay</p><p>  mov al,11101111b ;南北黃燈暗</p><p>  mov dx,0f000h</p><p><b>  out dx,al</b></p><p>  cal

67、l delay</p><p>  mov dx,0f002h</p><p><b>  in al,dx</b></p><p>  and al,01h</p><p><b>  jnz cc</b></p><p><b>  ret</b>&

68、lt;/p><p><b>  b endp</b></p><p><b>  c proc</b></p><p>  mov al,4fh ;數(shù)碼管顯示"3"</p><p>  mov dx,0f001h</p>

69、<p><b>  out dx,al</b></p><p>  mov al,10101111b ;東西黃燈亮</p><p>  mov dx,0f000h</p><p><b>  out dx,al</b></p><p>  call d

70、elay</p><p>  mov al,11101111b ;東西黃燈暗</p><p>  mov dx,0f000h</p><p><b>  out dx,al</b></p><p>  call delay</p><p>  mov dx,0f

71、002h</p><p><b>  in al,dx</b></p><p>  and al,01h</p><p><b>  cmp al,0h</b></p><p><b>  jnz cc</b></p><p><b>  jm

72、p x</b></p><p>  ddd: jmp cc</p><p>  x: mov al,5bh ;數(shù)碼管顯示"2"</p><p>  mov dx,0f001h</p><p><b>  out dx,al</b></p>

73、;<p>  mov al,10101111b ;東西黃燈亮</p><p>  mov dx,0f000h</p><p><b>  out dx,al</b></p><p>  call delay</p><p>  mov al,11101111b

74、 ;東西黃燈暗</p><p>  mov dx,0f000h</p><p><b>  out dx,al</b></p><p>  call delay</p><p>  mov dx,0f002h</p><p><b>  in al,dx</b>

75、</p><p>  and al,01h</p><p><b>  cmp al,0h</b></p><p><b>  jnz ddd</b></p><p>  mov al,06h ;數(shù)碼管顯示"1"</p>

76、<p>  mov dx,0f001h</p><p><b>  out dx,al</b></p><p>  mov al,10101111b ;東西黃燈亮</p><p>  mov dx,0f000h</p><p><b>  out dx,al</

77、b></p><p>  call delay</p><p>  mov al,11101111b ;東西黃燈暗</p><p>  mov dx,0f000h</p><p><b>  out dx,al</b></p><p>  call dela

78、y</p><p>  mov dx,0f002h</p><p><b>  in al,dx</b></p><p>  and al,01h</p><p><b>  cmp al,0h</b></p><p><b>  jnz ddd</b>

79、</p><p>  mov al,3fh ;數(shù)碼管顯示"0"</p><p>  mov dx,0f001h</p><p><b>  out dx,al</b></p><p>  mov al,10101111b ;

80、東西黃燈亮</p><p>  mov dx,0f000h</p><p><b>  out dx,al</b></p><p>  call delay</p><p>  mov al,11101111b ;東西黃燈暗</p><p>  mov dx,0

81、f000h</p><p><b>  out dx,al</b></p><p>  call delay</p><p>  mov dx,0f002h</p><p><b>  in al,dx</b></p><p>  and al,01h</p>

82、<p><b>  cmp al,0h</b></p><p><b>  jnz ddd</b></p><p><b>  ret</b></p><p><b>  c endp</b></p><p>  code ends</

83、p><p><b>  end go</b></p><p><b>  九、心得與體會(huì)</b></p><p>  通過(guò)一周的課程設(shè)計(jì),自己受益匪淺。</p><p>  過(guò)程中,我們主斷熟悉了芯片8253、8255 的功能和應(yīng)用,學(xué)會(huì)了用匯編語(yǔ)言設(shè)計(jì)程序。在設(shè)計(jì)過(guò)程中,發(fā)現(xiàn)自己對(duì)老師課上所講的許多

84、知識(shí)點(diǎn)理解不透徹,概念比較模糊。通過(guò)一遍遍的研究、查找相關(guān)的資料,總算對(duì)8253和8255有了一定的認(rèn)識(shí),對(duì)芯片的初始化和命令字,以及地址和控制口的理解也更加深入。 </p><p>  本次課程設(shè)計(jì)提高我們的自學(xué)能力和合作精神。從初級(jí)要求開始,不斷思考,同時(shí)和老師同學(xué)們交流看法,在編程的過(guò)程中遇到了許多細(xì)節(jié)方面的問(wèn)題,我們通過(guò)討論一起解決了問(wèn)題,最終完成要求。靈活運(yùn)用課堂所學(xué),軟硬件相結(jié)合

85、,這是本次設(shè)計(jì)的要求,也是我們此次的最大收獲。</p><p><b>  附錄</b></p><p><b>  1、參考文獻(xiàn)</b></p><p>  [1] 李干林. 《STAR ES598PCI實(shí)驗(yàn)儀 微機(jī)原理實(shí)驗(yàn)指導(dǎo)書》[M] .南京工程學(xué)院,2008.</p><p>  [2] 韓

86、念杭. 微型計(jì)算機(jī)原理實(shí)驗(yàn)指導(dǎo)書 [M] .南京工程學(xué)院,2004.</p><p>  [3] 鄭學(xué)堅(jiān),周斌. 微型計(jì)算機(jī)原理及應(yīng)用[M] . 北京:清華大學(xué)出版社,2001.</p><p>  [4] 朱定華等. 微型計(jì)算機(jī)原理及應(yīng)用[M]. 北京:電子工業(yè)出版社,2005.</p><p>  [5] 李繼燦.新編16/32微型計(jì)算機(jī)原理及應(yīng)用[M].北京:

87、清華大學(xué)出版社,2004</p><p>  [6] 戴梅萼,史嘉權(quán). 微型計(jì)算機(jī)技術(shù)及應(yīng)用[M] . 北京:清華大學(xué)出版社,1996.</p><p><b>  2、實(shí)物圖</b></p><p><b>  南北紅,東西綠</b></p><p><b>  南北紅,東西黃</

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論