版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)
文檔簡介
1、<p><b> 基</b></p><p><b> 于</b></p><p><b> V</b></p><p><b> H</b></p><p><b> D</b></p><p
2、><b> L</b></p><p><b> 的</b></p><p><b> 電</b></p><p><b> 子</b></p><p><b> 鐘</b></p><p>&
3、lt;b> 的</b></p><p><b> 設(shè)</b></p><p><b> 計</b></p><p><b> 院系:工學(xué)院機械系</b></p><p> 學(xué)號:090128002</p><p><b&
4、gt; 姓名:張才虎</b></p><p> 日期:2011/12/16</p><p> 一 設(shè)計課題名稱 </p><p> 基于VHDL的電子鐘的設(shè)計</p><p><b> 二 電子鐘功能</b></p><p> 本課題要求所設(shè)計的電子鐘能夠正常進行時
5、、分、秒計時,并用六位數(shù)碼管顯示計時結(jié)果。同時具有校時、異步清零功能。</p><p><b> 三 設(shè)計要求</b></p><p> 1.詳細(xì)說明設(shè)計方案;</p><p> 2.用VHDL編寫設(shè)計程序;</p><p> 3.給出系統(tǒng)仿真結(jié)果;</p><p><b>
6、 4.進行硬件驗證。</b></p><p><b> 目錄</b></p><p><b> 第一章</b></p><p> 1.1引言----------------------------------------------------------------4</p><p&
7、gt; 1.2 課題設(shè)計的背景、目的---------------------------------------4</p><p><b> 第二章</b></p><p> 2.1 EDA的簡單介紹------------------------------------------------ 5</p><p> 2.2 VHDL
8、的簡單介紹-----------------------------------------------5</p><p> 2.3 Quartus2 軟件------------------------------------------ ----- 7</p><p><b> 第三章</b></p><p> 3.1電子鐘的結(jié)構(gòu)圖
9、 -------------------------------------------------7</p><p> 3.2小時模塊 ------------------------------------------------8</p><p> 3. 3分鐘模塊 ------------------------------------------
10、---- 9</p><p> 3.4 秒鐘模塊 -------------------------------------------11</p><p> 3.5 時間設(shè)置模塊 --------------------------------------------- 12</p><p> 心得體會 -----------
11、------------------------------------------------- 13</p><p> 參考文獻 ----------------------------------------------------- ------- 13</p><p><b> 第一章</b></p><p><b>
12、 1.1 引言</b></p><p> 隨著科學(xué)技術(shù)的不斷發(fā)展,人們對時間計量的精度要求越來越高。數(shù)字電子鐘走時精度高,穩(wěn)定性好,使用方便,不需要經(jīng)常調(diào)校,數(shù)字式電子鐘用秒脈沖發(fā)生器的精度穩(wěn)定保證了數(shù)字鐘的質(zhì)量,我們利用VHDL語言制作電子鐘的詳細(xì)程序。</p><p> 1.2課題設(shè)計的背景、目的 </p><p> 20世紀(jì)末,電子技術(shù)獲得
13、了飛速的發(fā)展,在其推動下,現(xiàn)代電子產(chǎn)品幾乎滲透了社會的各個領(lǐng)域,有力地推動了社會生產(chǎn)力的發(fā)展和社會信息化程度的提高,同時也使現(xiàn)代電子產(chǎn)品性能進一步提高,產(chǎn)品更新?lián)Q代的節(jié)奏也越來越快。 時間對人們來說總是那么寶貴,工作的忙碌性和繁雜性容易使人忘記當(dāng)前的時間。忘記了要做的事情,當(dāng)事情不是很重要的時候,這種遺忘無傷大雅。但是,一旦重要事情,一時的耽誤可能釀成大禍。生活中很多安全事故都是由于我們忽略了時間的重要性,缺少了時間觀念而造成的。所以
14、要想擁有超強的時間觀念,減少由于時間給我們帶來的痛苦與災(zāi)難,擁有好的手表式個不錯的選擇。但是,隨著接受皮試的人數(shù)增加,到底是哪個人的皮試到時間卻難以判斷。所以,要制作一個定時系統(tǒng)。隨時提醒這些容易忘記時間的人。 鐘表的數(shù)字化給人們生產(chǎn)生活帶來了極大的方便,而且大大地擴展了鐘表原先的報時功能。諸如定時自動報警、按時自動打鈴、時間程序自動控制、定時廣播、定時啟閉電路、定時開關(guān)烘箱、通斷動力設(shè)備,甚至各種定時電氣的自動啟用等,所有這
15、些,都是以鐘表數(shù)字化為基礎(chǔ)的。因此,研究數(shù)字鐘及擴大其應(yīng)用,有著非常現(xiàn)實的意義。 通過</p><p> 2.1 EDA的簡單介紹</p><p> EDA技術(shù)作為現(xiàn)代電子設(shè)計技術(shù)的核心,它依賴功能強大的計算機在EDA工具軟件平臺上,對以硬件描述語言HDL為系統(tǒng)邏輯手段完成的設(shè)計文件,自動的完成邏輯編輯。邏輯化簡、邏輯分割、邏輯綜合、結(jié)構(gòu)綜合,以及邏輯優(yōu)化和仿真測試,直至實現(xiàn)
16、既定的電子線路系統(tǒng)功能。</p><p> EDA技術(shù)在硬件實現(xiàn)方面融合了大規(guī)模集成電路制造技術(shù),IC版圖設(shè)計技術(shù)、ASIC測試和封裝技術(shù),F(xiàn)PGA/CPLD編程下載技術(shù)、自動測試技術(shù)等;在計算機輔助工程方面融合了計算機輔助設(shè)計CAD,計算機輔助制造CAM,計算機輔助測試CAT,計算機輔助工程CAE技術(shù)以及多種計算機語言設(shè)計概念;而在現(xiàn)代電子學(xué)方面則容納了更多的內(nèi)容,如電子線路設(shè)計理論,數(shù)字信號處理技術(shù),數(shù)字系
17、統(tǒng)建模和優(yōu)化技術(shù)及基于微波技術(shù)的長線技術(shù)理論等。</p><p> 現(xiàn)在對EDA的概念或范疇用得很寬。包括在機械、電子、通信、航空航天、化工、礦產(chǎn)、生物、醫(yī)學(xué)、軍事等各個領(lǐng)域,都有EDA的應(yīng)用。目前EDA 技術(shù)已在各大公司、企事業(yè)單位和科研教學(xué)部門廣泛使用。</p><p> 2.2 VHDL的簡單介紹</p><p> VHDL是于1983年由美國國防部發(fā)起
18、創(chuàng)建,有IEEE進一步發(fā)展并于1987年作為“IEEE標(biāo)準(zhǔn)1076”發(fā)布。從此,VHDL成為硬件描述語言的業(yè)界標(biāo)準(zhǔn)之一。</p><p> VHDL語言具有很強的電路描述和建模能力,能從多個層次對數(shù)字系統(tǒng)進行建模和描述,從而大大簡化了硬件設(shè)計任務(wù),提高了設(shè)計效率和可靠性。</p><p> VHDL具有與具體硬件電路無關(guān)和與設(shè)計平臺無關(guān)的特性,并且具有良好的電路行為描述和系統(tǒng)描述的能力
19、,在語言易讀性和層次化結(jié)構(gòu)化設(shè)計方面表現(xiàn)了強大的生命力和應(yīng)用潛力。因此,VHDL支持各種模式的設(shè)計方法,自頂向下與自底向上或混合方法,在面對當(dāng)今許多電子產(chǎn)品生命周期縮短,需要多次重新設(shè)計以融入最新技術(shù)、改變工藝等方面,VHDL具有良好的適應(yīng)性。用VHDL進行電子系統(tǒng)設(shè)計的一個很大的優(yōu)點是設(shè)計者可以專心致力于其功能的實現(xiàn),而不需要對不影響功能的與工藝有關(guān)的因素花費過多的時間和精力。</p><p> 一.VHDL
20、在工程設(shè)計中的優(yōu)點:</p><p> ?。?)與其他的硬件描述語言相比,VHDL具有更強的行為描述能力,從而決定了他成為系統(tǒng)設(shè)計領(lǐng)域最佳的硬件描述語言。強大的行為描述能力是避開具體的器件結(jié)構(gòu),從邏輯行為上描述和設(shè)計大規(guī)模電子系統(tǒng)的重要保證。 (2)VHDL豐富的仿真語句和庫函數(shù),使得在任何大系統(tǒng)的設(shè)計早期就能查驗設(shè)計系統(tǒng)的功能可行性,隨時可對設(shè)計進行仿真模擬。 (3)VHDL語句的行為描述能力和
21、程序結(jié)構(gòu)決定了他具有支持大規(guī)模設(shè)計的分解和已有設(shè)計的再利用功能。符合市場需求的大規(guī)模系統(tǒng)高效、高速的完成必須有多人甚至多個代發(fā)組共同并行工作才能實現(xiàn)。</p><p> ?。?)對于用VHDL完成的一個確定的設(shè)計,可以利用EDA工具進行邏輯綜合和優(yōu)化,并自動的把VHDL描述設(shè)計轉(zhuǎn)變成門級網(wǎng)表。 (5)VHDL對設(shè)計的描述具有相對獨立性,設(shè)計者可以不懂硬件的結(jié)構(gòu),也不必管理最終設(shè)計實現(xiàn)的目標(biāo)器件是什么,而進
22、行獨立的設(shè)計。</p><p> 二.VHDL語言的開發(fā)流程 </p><p> ?。?)文本編輯:用任何文本編輯器都可以進行,也可以用專用的HDL編輯環(huán)境。通常VHDL文件保存為.vhd文件。 (2)功能仿真:將文件調(diào)入HDL仿真軟件進行功能仿真,檢查邏輯功能是否正確(也叫前仿真,對簡單的設(shè)計可以跳過這一步,只在布線完成以后,進行時序仿真)。 (3)邏輯綜合:將
23、源文件調(diào)入邏輯綜合軟件進行綜合,即把語言綜合成最簡的布爾表達式和信號的連接關(guān)系。邏輯綜合軟件會生成.edf(edif)的EDA工業(yè)標(biāo)準(zhǔn)文件。 (4)布局布線:將.edf文件調(diào)入PLD廠家提供的軟件中進行布線,即把設(shè)計好的邏輯安放到PLD/FPGA內(nèi)。 </p><p> (5)編程下載:確認(rèn)仿真無誤后,將文件下載到芯片中。</p><p> 2.3 Quartus2 軟件&l
24、t;/p><p> Quartus2在基于VHDL程序設(shè)計中擁有輸入設(shè)計流程 ,其包括設(shè)計輸入,綜合,適配,仿真測試和編程下載等方法。</p><p> Quartus2與其他應(yīng)用軟件相比,他提供了更強大、更直觀便捷和操作靈活的原理圖輸入設(shè)計功能,同時還配備了更豐富的適用于各種需要的元件庫,其中包括基本的邏輯元件,宏功能元件,以及類似于IP核的參數(shù)可設(shè)置的宏功能塊LPM庫。</p&g
25、t;<p> 與傳統(tǒng)的數(shù)字電路實驗相比,Quartus2提供原理圖輸入設(shè)計功能具有不可比擬的優(yōu)勢和先進性:</p><p> 設(shè)計者不必具備許多諸如編程技術(shù),硬件描述語言等知識就能迅速入門,完成較大規(guī)模的電路設(shè)計系統(tǒng)。</p><p> 能進行任意層次的數(shù)字系統(tǒng)設(shè)計,傳統(tǒng)的數(shù)字電路實驗只能完成單一層次的設(shè)計。</p><p> 能對系統(tǒng)中的任意
26、層次,或任一元件的功能進行精確的時序仿真。</p><p> 通過時序仿真能迅速定位電路系統(tǒng)中的錯誤所在,并及時糾正。</p><p> 在對電子鐘的設(shè)計中,由于對編程知識掌握量有限,所以利用了Quartus2的原理圖輸入設(shè)計方法的優(yōu)勢而對電子鐘電路進行設(shè)計,從而得到各模塊的設(shè)計流程。</p><p><b> 第三章</b></p
27、><p> 利用Quartus2原理圖輸入設(shè)計方法,將電子鐘的各模塊電路:小時,分,秒及校時模塊在原理圖中畫出,并對其引腳進行設(shè)置,經(jīng)過驗證得到準(zhǔn)確的電路原理圖。</p><p> 3.1電子鐘的原理圖</p><p><b> 圖1 頂層電路圖</b></p><p> 在此結(jié)構(gòu)圖中分為四個模塊,每個模塊的作用分別
28、為:</p><p> 1.小時模塊。通過復(fù)位和使能端的控制,進行小時間的切換,其進制為24進制,當(dāng)顯示器上的數(shù)字顯示到23:59:59時小時立馬復(fù)位為零,再進行重新計時。</p><p> 2.分鐘模塊。其控制原理與小時模塊基本相同,所不同之處為其進制為60進制,進行電子鐘分鐘的控制。</p><p> 3.秒鐘模塊。其功能與作用于分鐘模塊相同。</p
29、><p> 4.校時模塊。當(dāng)電子鐘可以進行計時之后,我們要求可以對時間進行調(diào)節(jié),所以在這個模塊中附加了對分鐘與小時的調(diào)節(jié)功能。并且在這個環(huán)節(jié)中,當(dāng)我們對分或小時進行調(diào)節(jié)時,所要調(diào)節(jié)的顯示器上的數(shù)字會進行閃爍,當(dāng)我們對數(shù)字進行切換之后就會達到穩(wěn)定的計時效果。</p><p><b> 3.2 小時模塊</b></p><p> 小時模塊采用24
30、進制,由四進制與六進制構(gòu)成。</p><p><b> 其程序如下:</b></p><p> LIBRARY IEEE;</p><p> USE IEEE.STD_LOGIC_1164.ALL;</p><p> USE IEEE.STD_LOGIC_UNSIGNED.ALL;</p><
31、p> ENTITY hour IS</p><p> PORT (CLK0,CLK1,RST,EN : IN STD_LOGIC; </p><p> q1,q0 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); </p><p><b> END hour
32、;</b></p><p> ARCHITECTURE behav OF hour IS</p><p> signal CLK : STD_LOGIC;</p><p> signal CQ1 : STD_LOGIC_VECTOR(7 DOWNTO 0);</p><p> signal C0 : STD_LOGIC_
33、VECTOR(3 DOWNTO 0);</p><p> signal C1 : STD_LOGIC_VECTOR(3 DOWNTO 0);</p><p><b> BEGIN</b></p><p> cq1<= c1 & c0;</p><p> PROCESS(CLK, RST, EN)&l
34、t;/p><p><b> BEGIN</b></p><p> case en is</p><p> when '1' =>clk<=clk1;</p><p> when '0' =>clk<=clk0;</p><p> whe
35、n others =>null;</p><p><b> end case;</b></p><p> IF RST = '1' THEN c1 <= (OTHERS =>'0') ; c0 <= (OTHERS =>'0') ; </p><
36、p> ELSIF CLK'EVENT AND CLK='1' THEN </p><p> IF c0 < 9 THEN c0 <= c0 + 1; </p><p> ELSE c0 <= (OTHERS =>'0'
37、;); </p><p> IF c0 = 9 THEN c1 <= c1 + 1; </p><p><b> END IF;</b></p><p><b> end if;</b></p><p><b> END IF;
38、</b></p><p> if (c1=2 and c0=4) then c0 <= (OTHERS =>'0');c1 <= (OTHERS =>'0'); </p><p> END IF; </p><p> q1<=c1;q0<=c0
39、; </p><p> END PROCESS;</p><p> END behav;</p><p><b> 3.3 分鐘模塊</b></p><p> 分鐘模塊采用十進制和六進制構(gòu)成</p><p><b> 原理圖為</b><
40、;/p><p> LIBRARY IEEE;</p><p> USE IEEE.STD_LOGIC_1164.ALL;</p><p> USE IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p> ENTITY minute1 IS</p><p> PORT (CLK0,CLK1,RS
41、T,EN : IN STD_LOGIC; </p><p> q1,q0 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); </p><p> COUT : OUT STD_LOGIC ); </p><p> END minute1;</p><p
42、> ARCHITECTURE behav OF minute1 IS</p><p> signal CLK : STD_LOGIC;</p><p> signal CQ1 : STD_LOGIC_VECTOR(7 DOWNTO 0);</p><p> signal C0 : STD_LOGIC_VECTOR(3 DOWNTO 0);</p
43、><p> signal C1 : STD_LOGIC_VECTOR(3 DOWNTO 0);</p><p><b> BEGIN</b></p><p> cq1<= c1 & c0;</p><p> PROCESS(CLK,RST,EN)</p><p><b>
44、; BEGIN</b></p><p> case en is</p><p> when '1' =>clk<=clk1;</p><p> when '0' =>clk<=clk0;</p><p> when others =>null;</p&g
45、t;<p><b> end case;</b></p><p> IF RST = '1' THEN c1 <= (OTHERS =>'0') ; c0 <= (OTHERS =>'0') ; </p><p> ELSIF CLK'EVENT
46、AND CLK='1' THEN </p><p> IF c0 < 9 THEN c0 <= c0 + 1; </p><p> ELSE c0 <= (OTHERS =>'0'); &l
47、t;/p><p> IF c0 = 9 THEN c1 <= c1 + 1; </p><p><b> END IF;</b></p><p><b> end if;</b></p><p><b> END IF;</b></p><p
48、> if (c1=5 and c0=9)then cout<= '1';ELSE COUT <='0';end if; </p><p> if (c1=6 and c0=0) then c0 <= (OTHERS =>'0');c1 <= (OTHERS =>'0'); <
49、;/p><p> END IF; </p><p> q1<=c1;q0<=c0; </p><p> END PROCESS;</p><p> END behav;</p><p><b> 3.4 秒鐘模塊</b></p><p
50、> 采用十進制和六進制構(gòu)成</p><p><b> 原理圖為</b></p><p> LIBRARY IEEE;</p><p> USE IEEE.STD_LOGIC_1164.ALL;</p><p> USE IEEE.STD_LOGIC_UNSIGNED.ALL;</p><
51、;p> ENTITY second IS</p><p> PORT (CLK,RST,EN : IN STD_LOGIC; </p><p> q1,q0 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); </p><p> COUT : OUT STD_LOGIC );
52、 </p><p> END second;</p><p> ARCHITECTURE behav OF second IS</p><p> signal CQ1 : STD_LOGIC_VECTOR(7 DOWNTO 0);</p><p> signal C0 : STD_LOGIC_VECTOR(3 DOWNTO
53、 0);</p><p> signal C1 : STD_LOGIC_VECTOR(3 DOWNTO 0);</p><p><b> BEGIN</b></p><p> cq1<= c1 & c0;</p><p> PROCESS(CLK, RST, EN)</p><p
54、><b> BEGIN</b></p><p> IF RST = '1' THEN c1 <= (OTHERS =>'0') ; c0 <= (OTHERS =>'0') ; </p><p> ELSIF CLK'EVENT AND CLK='
55、1' THEN </p><p> IF EN = '1' THEN </p><p> IF c0 < 9 THEN c0 <= c0 + 1; </p><p> ELSE c0 <= (OTHERS =>
56、39;0'); </p><p> IF c0 = 9 THEN c1 <= c1 + 1; </p><p><b> END IF;</b></p><p><b> end if;</b></p><p><b>
57、 END IF;</b></p><p><b> END IF;</b></p><p> if (c1=5 and c0=9)then cout<= '1';ELSE COUT <='0';end if; </p><p> if (c1=6 and c0=0) then
58、c0 <= (OTHERS =>'0');c1 <= (OTHERS =>'0'); </p><p> END IF; </p><p> q1<=c1;q0<=c0; </p><p> END PROCESS;</p>&l
59、t;p> END behav;</p><p> 3.5 時間設(shè)置模塊</p><p> 此模塊實行對時間進行校正</p><p><b> 原理圖為</b></p><p> library ieee;</p><p> use ieee.std_logic_1164.all;
60、</p><p> use ieee.std_logic_unsigned.all;</p><p> entity sst is</p><p> port (clk :in std_logic;</p><p> m1,m0,s1,s0:in std_logic_vector(3 downto 0);</p><
61、;p> y:out std_logic);</p><p><b> end sst;</b></p><p> architecture one of sst is</p><p><b> begin</b></p><p> process(clk)</p>&l
62、t;p><b> begin</b></p><p> if clk'event and clk='1' then</p><p> if m1="0000" and m0="0000" and s1="0000" and s0="0000" then&
63、lt;/p><p> y<='1';else y<='0';</p><p><b> end if;</b></p><p><b> end if;</b></p><p> end process;</p><p><
64、;b> end one;</b></p><p><b> 心得體會:</b></p><p> 通過此次課程設(shè)計,令我感受頗深,在平時的學(xué)習(xí)中,雖然書本上的知識都掌握了,但是如果不聯(lián)系實際,不把所掌握的知識與實踐相結(jié)合,也就是我們所說的死學(xué)習(xí),是達不到我們所要的理想水平的。所以為了將我們所學(xué)習(xí)的東西充分的理解掌握,就必須經(jīng)常思考,用實驗驗證我
65、們的所學(xué)成果。</p><p> 在理論與實際相結(jié)合的過程中我們要勤思考,多請教,盡自己最大的努力將設(shè)計做好。</p><p> 本次課程設(shè)計的VHDL語言程序已在QuartusⅡ工具軟件上進行了編譯、仿真和調(diào)試,并通過編程器下載到了EP1C6Q240C8芯片。經(jīng)過實踐驗證,本設(shè)計是正確的,仿真數(shù)據(jù)與實際波形發(fā)生器的試驗箱運行結(jié)果完全一致,達到預(yù)期目的,設(shè)計成功。本文給出的設(shè)計思想也適
66、用于其他設(shè)計。</p><p> 課程設(shè)計中雖然遇到了很多困難,特別是軟件一些功能還比較陌生,但是在老師的指導(dǎo)和幫助下能去親手實踐,經(jīng)過多次練習(xí)掌握并熟練。一些程序的檢測和改正還需要我們耐心仔細(xì)去探索。</p><p><b> 參考文獻</b></p><p> 1.潘松等. EDA技術(shù)實用教程</p><p>
67、 2.盧毅,賴杰.VHDL與數(shù)字電路設(shè)計</p><p> 3.林明權(quán).VHDL數(shù)字控制系統(tǒng)設(shè)計范例</p><p> 大學(xué)本科生畢業(yè)設(shè)計(論文)撰寫規(guī)范</p><p> 本科生畢業(yè)設(shè)計(論文)是學(xué)生在畢業(yè)前提交的一份具有一定研究價值和實用價值的學(xué)術(shù)資料。它既是本科學(xué)生開始從事工程設(shè)計、科學(xué)實驗和科學(xué)研究的初步嘗試,也是學(xué)生在教師的指導(dǎo)下,對所進行研究的適
68、當(dāng)表述,還是學(xué)生畢業(yè)及學(xué)位資格認(rèn)定的重要依據(jù)。畢業(yè)論文撰寫是本科生培養(yǎng)過程中的基本訓(xùn)練環(huán)節(jié)之一,應(yīng)符合國家及各專業(yè)部門制定的有關(guān)標(biāo)準(zhǔn),符合漢語語法規(guī)范。指導(dǎo)教師應(yīng)加強指導(dǎo),嚴(yán)格把關(guān)。</p><p><b> 1、論文結(jié)構(gòu)及要求</b></p><p> 論文包括題目、中文摘要、外文摘要、目錄、正文、參考文獻、致謝和附錄等幾部分。</p><p
69、><b> 1.1 題目</b></p><p> 論文題目應(yīng)恰當(dāng)、準(zhǔn)確地反映論文的主要研究內(nèi)容。不應(yīng)超過25字,原則上不得使用標(biāo)點符號,不設(shè)副標(biāo)題。</p><p> 1.2 摘要與關(guān)鍵詞</p><p><b> 1.2.1 摘要</b></p><p> 本科生畢業(yè)設(shè)計(論文)
70、的摘要均要求用中、英兩種文字給出,中文在前。</p><p> 摘要應(yīng)扼要敘述論文的研究目的、研究方法、研究內(nèi)容和主要結(jié)果或結(jié)論,文字要精煉,具有一定的獨立性和完整性,摘要一般應(yīng)在300字左右。摘要中不宜使用公式、圖表,不標(biāo)注引用文獻編號,避免將摘要寫成目錄式的內(nèi)容介紹。</p><p><b> 1.2.2 關(guān)鍵詞</b></p><p>
71、; 關(guān)鍵詞是供檢索用的主題詞條,應(yīng)采用能覆蓋論文主要內(nèi)容的通用技術(shù)詞條(參照相應(yīng)的技術(shù)術(shù)語標(biāo)準(zhǔn)),一般列3~5個,按詞條的外延層次從大到小排列,應(yīng)在摘要中出現(xiàn)。</p><p><b> 1.3 目錄</b></p><p> 目錄應(yīng)獨立成頁,包括論文中全部章、節(jié)的標(biāo)題及頁碼。</p><p><b> 1.4 論文正文<
72、;/b></p><p> 論文正文包括緒論、論文主體及結(jié)論等部分。</p><p><b> 1.4.1 緒論</b></p><p> 緒論一般作為論文的首篇。緒論應(yīng)說明選題的背景、目的和意義,國內(nèi)外文獻綜述以及論文所要研究的主要內(nèi)容。</p><p> 文管類論文的緒論是畢業(yè)論文的開頭部分,一般包括說
73、明論文寫作的目的與意義,對所研究問題的認(rèn)識以及提出問題。緒論只是文章的開頭,不必寫章號。</p><p> 畢業(yè)設(shè)計(論文)緒論部分字?jǐn)?shù)不多于全部論文字?jǐn)?shù)的1/4。</p><p> 1.4.2 論文主體</p><p> 論文主體是論文的主要部分,要求結(jié)構(gòu)合理,層次清楚,重點突出,文字簡練、通順。論文主體的內(nèi)容要求參照《大學(xué)本科生畢業(yè)設(shè)計(論文)的規(guī)定》第五
74、章。</p><p> 論文主體各章后應(yīng)有一節(jié)“本章小結(jié)”。</p><p><b> 1.4.3 結(jié)論</b></p><p> 結(jié)論作為單獨一章排列,但不加章號。</p><p> 結(jié)論是對整個論文主要成果的歸納,要突出設(shè)計(論文)的創(chuàng)新點,以簡練的文字對論文的主要工作進行評價,一般為400~1 000字。&
75、lt;/p><p><b> 1.5 參考文獻</b></p><p> 參考文獻是論文不可缺少的組成部分,它反映了論文的取材來源和廣博程度。論文中要注重引用近期發(fā)表的與論文工作直接有關(guān)的學(xué)術(shù)期刊類文獻。對理工類論文,參考文獻數(shù)量一般應(yīng)在15篇以上,其中學(xué)術(shù)期刊類文獻不少于8篇,外文文獻不少于3篇;對文科類、管理類論文,參考文獻數(shù)量一般為10~20篇,其中學(xué)術(shù)期刊類文
76、獻不少于8篇,外文文獻不少于3篇。</p><p> 在論文正文中必須有參考文獻的編號,參考文獻的序號應(yīng)按在正文中出現(xiàn)的順序排列。</p><p> 產(chǎn)品說明書、各類標(biāo)準(zhǔn)、各種報紙上刊登的文章及未公開發(fā)表的研究報告(著名的內(nèi)部報告如PB、AD報告及著名大公司的企業(yè)技術(shù)報告等除外)不宜做為參考文獻引用。但對于工程設(shè)計類論文,各種標(biāo)準(zhǔn)、規(guī)范和手冊可作為參考文獻。</p>&l
77、t;p> 引用網(wǎng)上參考文獻時,應(yīng)注明該文獻的準(zhǔn)確網(wǎng)頁地址,網(wǎng)上參考文獻不包含在上述規(guī)定的文獻數(shù)量之內(nèi)。</p><p><b> 1.6 致謝</b></p><p> 對導(dǎo)師和給予指導(dǎo)或協(xié)助完成論文工作的組織和個人表示感謝。內(nèi)容應(yīng)簡潔明了、實事求是,避免俗套。</p><p><b> 1.7 附錄</b>
78、</p><p> 如開題報告、文獻綜述、外文譯文及外文文獻復(fù)印件、公式的推導(dǎo)、程序流程圖、圖紙、數(shù)據(jù)表格等有些不宜放在正文中,但有參考價值的內(nèi)容可編入論文的附錄中。</p><p><b> 2、論文書寫規(guī)定</b></p><p> 2.1 論文正文字?jǐn)?shù)</p><p> 理工類 論文正文字?jǐn)?shù)不少于20 0
79、00字。</p><p> 文管類 論文正文字?jǐn)?shù)12 000-20 000字。其中漢語言文學(xué)專業(yè)不少于7 000字。</p><p> 外語類 論文正文字?jǐn)?shù)8 000-10 000個外文單詞。</p><p> 藝術(shù)類 論文正文字?jǐn)?shù)3 000~5 000字。</p><p><b> 2.2 論文書寫</b>
80、;</p><p> 本科生畢業(yè)論文用B5紙計算機排版、編輯與雙面打印輸出。</p><p> 論文版面設(shè)置為:畢業(yè)論文B5紙、縱向、為橫排、不分欄,上下頁邊距分別為2.5cm和2cm,左右頁邊距分別為2.4cm和2cm,對稱頁邊距、左側(cè)裝訂并裝訂線為0cm、奇偶頁不同、無網(wǎng)格。論文正文滿頁為29行,每行33個字,字號為小四號宋體,每頁版面字?jǐn)?shù)為957個,行間距為固定值20磅。<
81、/p><p> 頁眉。頁眉應(yīng)居中置于頁面上部。單數(shù)頁眉的文字為“章及標(biāo)題”;雙數(shù)頁眉的文字為“大學(xué)本科生畢業(yè)設(shè)計(論文)”。頁眉的文字用五號宋體,頁眉文字下面為2條橫線(兩條橫線的長度與版芯尺寸相同,線粗0.5磅)。頁眉、頁腳邊距分別為1.8cm和1.7cm。</p><p> 頁碼。頁碼用小五號字,居中標(biāo)于頁面底部。摘要、目錄等文前部分的頁碼用羅馬數(shù)字單獨編排,正文以后的頁碼用阿拉伯?dāng)?shù)字
82、編排。</p><p><b> 2.3 摘要</b></p><p> 中文摘要一般為300字左右,外文摘要應(yīng)與中文摘要內(nèi)容相同,在語法、用詞和書寫上應(yīng)正確無誤,摘要頁勿需寫出論文題目。中、外文摘要應(yīng)各占一頁,編排裝訂時放置正文前,并且中文在前,外文在后。</p><p><b> 2.4 目錄</b></p
83、><p> 目錄應(yīng)包括論文中全部章節(jié)的標(biāo)題及頁碼,含中、外文摘要;正文章、節(jié)題目;</p><p> 參考文獻;致謝;附錄。</p><p> 正文章、節(jié)題目(理工類要求編寫到第3級標(biāo)題,即□.□.□。文科、管理類可視論文需要進行,編寫到2~3級標(biāo)題。)</p><p><b> 2.5 論文正文</b></p
84、><p> 2.5.1 章節(jié)及各章標(biāo)題</p><p> 論文正文分章、節(jié)撰寫,每章應(yīng)另起一頁。</p><p> 各章標(biāo)題要突出重點、簡明扼要。字?jǐn)?shù)一般在15字以內(nèi),不得使用標(biāo)點符號。標(biāo)題中盡量不用英文縮寫詞,對必須采用者,應(yīng)使用本行業(yè)的通用縮寫詞。</p><p><b> 2.5.2 層次</b></p&
85、gt;<p> 層次以少為宜,根據(jù)實際需要選擇。層次代號格式見表1和表2。</p><p> 表1 理工類論文層次代號及說明</p><p> ↑ ↑</p><p> 版心左邊線 版心右邊線</p>
86、<p> 表2 文管類論文層次代號及說明</p><p> ↑ ↑</p><p> 版心左邊線 版心右邊線</p><p> 各層次題序及標(biāo)題不得置于頁面的最后一行(孤行)。</p&
87、gt;<p><b> 2.6 參考文獻</b></p><p> 正文中引用文獻標(biāo)示應(yīng)置于所引內(nèi)容最末句的右上角,用小五號字體。所引文獻編號用阿拉伯?dāng)?shù)字置于方括號“[ ]”中,如“二次銑削[1]”。當(dāng)提及的參考文獻為文中直接說明時,其序號應(yīng)該與正文排齊,如“由文獻[8,10~14]可知”。</p><p> 經(jīng)濟、管理類論文引用文獻,若引用的是原
88、話,要加引號,一般寫在段中;若引的不是原文只是原意,文前只需用冒號或逗號,而不用引號。在參考文獻之外,若有注釋的話,建議采用夾注,即緊接文句,用圓括號標(biāo)明。</p><p> 不得將引用文獻標(biāo)示置于各級標(biāo)題處。</p><p> 參考文獻書寫格式應(yīng)符合GB7714-1987《文后參考文獻著錄規(guī)則》。常用參考文獻編寫項目和順序應(yīng)按文中引用先后次序規(guī)定如下:</p><
89、p><b> 著作圖書文獻</b></p><p> 序號└─┘作者.書名(版次).出版地:出版者,出版年:引用部分起止頁</p><p><b> 第一版應(yīng)省略</b></p><p><b> 翻譯圖書文獻</b></p><p> 序號└─┘作者.書名(版
90、次).譯者.出版地: 出版者,出版年:引用部分起止頁</p><p><b> 第一版應(yīng)省略</b></p><p><b> 學(xué)術(shù)刊物文獻</b></p><p> 序號└─┘作者.文章名.學(xué)術(shù)刊物名.年,卷(期):引用部分起止頁</p><p><b> 學(xué)術(shù)會議文獻</
91、b></p><p> 序號└─┘作者.文章名.編者名.會議名稱,會議地址,年份.出版地,出版者,</p><p> 出版年:引用部分起止頁</p><p><b> 學(xué)位論文類參考文獻</b></p><p> 序號└─┘研究生名.學(xué)位論文題目.出版地.學(xué)校(或研究單位)及學(xué)位論文級別.答</p&g
92、t;<p> 辯年份:引用部分起止頁 </p><p> 西文文獻中第一個詞和每個實詞的第一個字母大寫,余者小寫;俄文文獻名第一個詞和專有名詞的第一個字母大寫,余者小寫;日文文獻中的漢字須用日文漢字,不得用中文漢字、簡化漢字代替。文獻中的外文字母一律用正體。</p><p> 作者為多人時,一般只列出前3名作者,不同作者姓名間用逗號相隔。外文姓名按國際慣例,將作者名的縮
93、寫置前,作者姓置后。</p><p> 學(xué)術(shù)會議若出版論文集者,可在會議名稱后加上“論文集”字樣。未出版論文集者省去“出版者”、“出版年”兩項。會議地址與出版地相同者省略“出版地”。會議年份與出版年相同者省略“出版年”。</p><p> 學(xué)術(shù)刊物文獻無卷號的可略去此項,直接寫“年,(期)”。</p><p> 參考文獻序號頂格書寫,不加括號與標(biāo)點,其后空一格
94、寫作者名。序號應(yīng)按文獻在論文中的被引用順序編排。換行時與作者名第一個字對齊。若同一文獻中有多處被引用,則要寫出相應(yīng)引用頁碼,各起止頁碼間空一格,排列按引用順序,不按頁碼順序。</p><p> 參考文獻書寫格式示例見附錄1。</p><p><b> 2.7 名詞術(shù)語</b></p><p> 科技名詞術(shù)語及設(shè)備、元件的名稱,應(yīng)采用國家標(biāo)
95、準(zhǔn)或部頒標(biāo)準(zhǔn)中規(guī)定的術(shù)語或名稱。標(biāo)準(zhǔn)中未規(guī)定的術(shù)語要采用行業(yè)通用術(shù)語或名稱。全文名詞術(shù)語必須統(tǒng)一。一些特殊名詞或新名詞應(yīng)在適當(dāng)位置加以說明或注解。</p><p> 文管類專業(yè)技術(shù)術(shù)語應(yīng)為常見、常用的名詞。</p><p> 采用英語縮寫詞時,除本行業(yè)廣泛應(yīng)用的通用縮寫詞外,文中第一次出現(xiàn)的縮寫詞應(yīng)該用括號注明英文全文。</p><p><b> 2
96、.8 計量單位</b></p><p> 物理量計量單位及符號一律采用《中華人民共和國法定計量單位》(GB3100~3102—1993,見附錄2),不得使用非法定計量單位及符號。計量單位符號,除用人名命名的單位第一個字母用大寫之外,一律用小寫字母。</p><p> 非物理單位(如件、臺、人、元、次等)可以采用漢字與單位符號混寫的方式,如“萬t·km”,“t/(人
97、·a)”等。</p><p> 文稿敘述中不定數(shù)字之后允許用中文計量單位符號,如“幾千克至1 000kg”。</p><p> 表達時刻時應(yīng)采用中文計量單位,如“上午8點45分”,不能寫成“8h45min”。</p><p> 計量單位符號一律用正體。</p><p> 2.9 外文字母的正、斜體用法</p>
98、<p> 按照GB3100~3102-1986及GB7159-1987的規(guī)定使用,即物理量符號、物理常量、變量符號用斜體,計量單位等符號均用正體。</p><p><b> 2.10 數(shù)字</b></p><p> 按國家語言文字工作委員會等七單位1987年發(fā)布的《關(guān)于出版物上數(shù)字用法的規(guī)定》,除習(xí)慣用中文數(shù)字表示的以外,一般均采用阿拉伯?dāng)?shù)字(參照附錄
99、3)。</p><p><b> 2.11 公式</b></p><p> 原則上居中書寫。若公式前有文字(如“解”、“假定”等),文字頂格書寫,公式仍居中寫。公式末不加標(biāo)點。</p><p> 公式序號按章編排,如第1章第一個公式序號為“(1-1)”,附錄2中的第一個公式為(②-1)等。 </p><p&g
100、t; 文中引用公式時,一般用“見式(1-1)”或“由公式(1-1)”。</p><p> 公式中用斜線表示“除”的關(guān)系時,若分母部分為乘積應(yīng)采用括號,以免含糊不清,如a/(bcosx)。通?!俺恕钡年P(guān)系在前,如acosx/b而不寫(a/b)cosx。</p><p><b> 2.12 插表</b></p><p> 表格不加左、右邊線
101、。</p><p> 表序一般按章編排,如第1章第一個插表的序號為“表1-1”等。表序與表名之間空一格,表名中不允許使用標(biāo)點符號,表名后不加標(biāo)點。表序與表名置于表上,居中排寫(見附錄4)。</p><p> 表頭設(shè)計應(yīng)簡單明了,盡量不用斜線。表頭中可采用化學(xué)符號或物理量符號。</p><p> 全表如用同一單位,將單位符號移到表頭右上角,加圓括號(見附錄4中的
102、例2)。</p><p> 表中數(shù)據(jù)應(yīng)正確無誤,書寫清楚。數(shù)字空缺的格內(nèi)加“—”字線(占2個數(shù)字寬度)。表內(nèi)文字和數(shù)字上、下或左、右相同時,不允許用“″”、“同上”之類的寫法,可采用通欄處理方式(見附錄4中的例2)。</p><p> 表內(nèi)文字說明不加標(biāo)點。</p><p> 文管類的插表在表下一般根據(jù)需要可增列補充材料、注解、附記、資料來源、某些指標(biāo)的計算方
103、法等。</p><p> 表內(nèi)文字說明,起行空一格,轉(zhuǎn)行頂格,句末不加標(biāo)點。表題用五號字,表內(nèi)文字及表的說明文字均用五號字,中文用宋體。</p><p> 表格容量較大,必要時表格也可分為兩段或多段(這只能發(fā)生在轉(zhuǎn)頁時),轉(zhuǎn)頁分段后的每一續(xù)表的表頭都應(yīng)重新排字,重排表頭的續(xù)表上方右側(cè)應(yīng)注明(續(xù)表×)字樣。</p><p><b> 2.13
104、 插圖</b></p><p> 插圖應(yīng)與文字緊密配合,文圖相符,技術(shù)內(nèi)容正確。</p><p> 2.13.1 制圖標(biāo)準(zhǔn)</p><p> 插圖應(yīng)符合技術(shù)制圖及相應(yīng)專業(yè)制圖的規(guī)定。</p><p> 機械工程圖:采用第一角投影法,應(yīng)符合附錄5所列有關(guān)標(biāo)準(zhǔn)的規(guī)定。</p><p> 電氣圖:圖形符
105、號、文字符號等應(yīng)符合附錄6所列有關(guān)標(biāo)準(zhǔn)的規(guī)定。</p><p> 流程圖:符合國家標(biāo)準(zhǔn)。</p><p> 對無規(guī)定符號的圖形應(yīng)采用該行業(yè)的常用畫法。</p><p> 2.13.2 圖題及圖中說明</p><p> 每個圖均應(yīng)有圖題(由圖號和圖名組成)。圖號按章編排,如第1章第一圖的圖號為“圖1-1”等。圖題置于圖下。有圖注或其他說
106、明時應(yīng)置于圖題之上。圖名在圖號之后空一格排寫。引用圖應(yīng)說明出處,在圖題右上角加引用文獻編號。圖中若有分圖時,分圖號用a)、b)等置于分圖之下。</p><p> 圖中各部分說明應(yīng)采用中文(引用的外文圖除外)或數(shù)字項號,各項文字說明置于圖題之上(有分圖題者,置于分圖題之上)。</p><p> 圖題用五號字,圖內(nèi)文字及說明均用五號字,中文用宋體。</p><p>
107、 2.13.3 插圖編排</p><p> 插圖與其圖題為一個整體,不得拆開排寫于兩頁。插圖應(yīng)編排在正文提及之后,插圖處的該頁空白不夠排寫該圖整體時,則可將其后文字部分提前排寫,將圖移到次頁最前面。</p><p> 2.13.4 坐標(biāo)單位</p><p> 有數(shù)字標(biāo)注的坐標(biāo)圖,除無單位者(如標(biāo)示值)之外,必須注明坐標(biāo)單位。</p><p
108、> 2.13.5 論文中照片圖及插圖</p><p> 畢業(yè)論文中的照片圖均應(yīng)是原版照片粘貼(或數(shù)碼像機圖片),照片可為黑白或彩色,應(yīng)主題突出、層次分明、清晰整潔、反差適中。照片采用光面相紙,不宜用布紋相紙。對金相顯微組織照片必須注明放大倍數(shù)。</p><p> 畢業(yè)論文中的插圖不得采用復(fù)印件。對于復(fù)雜的引用圖,可采用數(shù)字化儀表輸入計算機打印出來的圖稿。</p>
109、<p><b> 2.14 附錄</b></p><p> 理工類論文附錄的序號采用“附錄1”、“附錄2”等,附錄順序為開題報告、文獻綜述、外文文獻的中文譯文及外文復(fù)印件等。</p><p> 文管類論文附錄序號相應(yīng)采用“附錄一”、“附錄二”等。</p><p><b> 3、論文排版要求</b><
110、/p><p> 3.1 紙張要求及頁面設(shè)置</p><p> 3.2 封面(詳見模版、B5紙單面打印)</p><p> 3.3 封面2(詳見模版、B5紙單面打印)</p><p> 3.4 本科畢業(yè)設(shè)計/論文 任務(wù)書(單面打印)</p><p> 3.5 中、英文摘要</p><p>&
111、lt;b> 3.6 目錄</b></p><p><b> 3.7 正文</b></p><p><b> 3.8 其它</b></p><p> 注:1.畢業(yè)設(shè)計/論文模版用Word 2003文檔排版,詳見教務(wù)處網(wǎng)頁-“文檔下載”-“實踐教學(xué)用表”中畢業(yè)設(shè)計/論文模版,下載的模版文檔會變形需要進
112、行整理。</p><p> 2.未注明事宜,請查看撰寫規(guī)范有關(guān)要求。</p><p> 4、論文打印輸出要求</p><p><b> 4.1 輸出樣式</b></p><p> 計算機雙面打印輸出。</p><p><b> 4.2 字體字號</b></p&
113、gt;<p> 論文正文字體為宋體,小四號字。</p><p> 第一層次(章)題序和標(biāo)題用小二號黑體字。題序和標(biāo)題之間空1個字。</p><p> 第二層次(節(jié))題序和標(biāo)題用小三號黑體字。題序和標(biāo)題之間空1個字。</p><p> 第三層次(條)題序和標(biāo)題用四號黑體字。</p><p> 第四層次(款)題序和標(biāo)題用小
114、四號黑體字。</p><p> 第五層次(項)題序和標(biāo)題用小四號宋體字。</p><p> 頁碼用小五號字,在底線下居中。</p><p> 論文的中文和外文摘要屬二次文獻置于目錄前,并編入目錄,按第一層次(章)的編輯要求處理。參考文獻、致謝、附錄同樣按第一層次(章)的編輯要求處理,另起新頁,與正文一起順序用阿拉伯?dāng)?shù)字編頁。</p><p&
115、gt; 4.3 摘要及關(guān)鍵詞</p><p> 中文摘要題頭用小二號黑體字居中排寫,然后隔行書寫摘要的文字部分,摘要正文用小四號宋體,行距20磅。</p><p> 英文論文摘要另起一頁,其內(nèi)容及關(guān)鍵詞應(yīng)與中文摘要一致。</p><p> 英文選用字體:Times New Roman,字號與中文摘要相同。 </p><p> 摘要的
116、中、外文示例見附錄7和附錄8。</p><p> 摘要正文后下空一行打印“關(guān)鍵詞”三字,關(guān)鍵詞題頭用小四號宋體字字體加粗頂格書寫,然后空一格書寫有關(guān)關(guān)鍵詞,各關(guān)鍵詞之間加標(biāo)點符號“;”最后一詞之后不加標(biāo)點符號。</p><p><b> 4.4 目錄</b></p><p> 目錄題頭用小二號黑體字居中排寫。目錄中各章題序及標(biāo)題用小四號黑
117、體,其余用小四號宋體,行距為20磅。目錄的打印實例見附錄9和附錄10。</p><p><b> 4.5 正文層次</b></p><p> 正文層次的編排理工類論文應(yīng)采用表3的格式,文管類可采用表4的格式。</p><p> 表3 理工類論文層次代號打印說明</p><p> 表4 文管類論文層次代號打印說明&
118、lt;/p><p> 正文的示例參見附錄11和附錄12。</p><p><b> 4.6 公式</b></p><p> 公式序號的右側(cè)符號靠右邊線頂邊排寫。</p><p> 公式較長時最好在等號“=”處轉(zhuǎn)行,如難實現(xiàn),則可在+、-、×、÷運算符號處轉(zhuǎn)行,轉(zhuǎn)行時運算符號僅書寫于轉(zhuǎn)行式前,不重復(fù)
119、書寫。</p><p> 公式中第一次出現(xiàn)的物理量應(yīng)給予注釋,注釋的轉(zhuǎn)行應(yīng)與破折號“——”后第一個字對齊,格式見下例:</p><p> 式中└─┘Mf ——試樣斷裂前的最大扭矩(N·m);</p><p> θf ——試樣斷裂時的單位長度上的相對扭轉(zhuǎn)角,</p><p> θf = ( rad/mm
120、)。</p><p> 公式中應(yīng)注意分?jǐn)?shù)線的長短(主、副分線嚴(yán)格區(qū)分),長分線與等號對齊,如</p><p> 附錄1 參考文獻示例</p><p><b> 參考文獻</b></p><p> 1 崔忠圻. 金屬學(xué)及熱處理. 北京:機械工業(yè)出版社,1989,36~45</p><p>
121、 2 張安峰,邢建東,陸文華. 高鉻鑄鐵的氧化行為. 金屬學(xué)報,1993,29(6):263~</p><p><b> 268</b></p><p> 3 周敬躍,李偉文. 利用基元葉片理論單級跨音速軸流壓氣機特性.見:中國工程熱物理學(xué)術(shù)討論會.北京:工程熱物理研究所,1985,181~196</p><p> 4 王連東.鐓粗新理論
122、及新工藝的研究.(碩士學(xué)位論文).齊齊哈爾:東北重型機械學(xué)院,1992,126~134</p><p> 5 John K T, George S A. Alloy and micro structural design . London: Academic press Inc. LTD.1993,12(5):236~238</p><p> 6 Sisler H H. Electro
123、nic structure properties and the periodic low, selected topics in modern chemistry. Reinhold publishing Corporation, 1963,10(2):78~89</p><p> 7 Caian Qiu. An analysis of the Cr-Fe-Mo-C system and modificati
124、on of thermodynamic parameters. ISIJ International,1992,32(10):1117~ 1127</p><p> 8 Lee B J, Lee D N. A thermodynamic evaluation of the Fe-Cr-V-Ti system journal of phaseEquilibria,1992,13(4): 349~364</p
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 基于vhdl語言的簡易電子鐘課程設(shè)計
- 電子鐘課程設(shè)計說明書
- 電子時鐘課程設(shè)計--基于vhdl的電子鐘的設(shè)計
- 數(shù)電課程設(shè)計報告vhdl電子鐘
- 畢業(yè)設(shè)計---基于硬件描述語言vhdl的電子鐘設(shè)計
- 電子鐘課程設(shè)計--基于單片機的電子鐘設(shè)計
- 電子鐘課程設(shè)計----數(shù)字電子鐘的設(shè)計
- 電子鐘畢業(yè)設(shè)計---數(shù)字電子鐘的設(shè)計
- eda電子鐘課程設(shè)計----電子鐘的設(shè)計
- 基于fpga的電子鐘設(shè)計
- 基于fpga電子鐘設(shè)計
- 基于dsp的電子鐘設(shè)計
- eda課程設(shè)計--vhdl語言實現(xiàn)數(shù)字電子鐘的設(shè)計
- 基于fpga的電子鐘設(shè)計
- 電子鐘設(shè)計
- 基于單片機的電子鐘設(shè)計說明
- 基于單片機的電子鐘設(shè)計說明
- 電子鐘課程設(shè)計--多功能電子鐘
- 數(shù)字電子鐘設(shè)計
- 數(shù)字電子鐘的設(shè)計
評論
0/150
提交評論