2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩13頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、<p><b>  課程設(shè)計(jì)任務(wù)書</b></p><p>  課程 EDA技術(shù)課程設(shè)計(jì)</p><p>  題目 交通燈控制器</p><p>  專業(yè) 電子信息工程 姓名 學(xué)號(hào) </p><p>  主要內(nèi)容、基本要求、主要參考資料等</p><p><

2、b>  主要內(nèi)容:</b></p><p>  設(shè)計(jì)一個(gè)交通信號(hào)燈控制器,由一條主干道和一條支干道匯合成十字路口,在每個(gè)入口處設(shè)置紅、綠、黃三色信號(hào)燈,紅燈亮禁止通行,綠燈亮允許通行,黃燈亮則給行駛中的車輛有時(shí)間停在禁行線外。</p><p><b>  基本要求:</b></p><p>  1、紅、綠、黃發(fā)光二極管作信號(hào)燈

3、,用傳感器或邏輯開關(guān)作檢測車輛是否到來的信號(hào),實(shí)驗(yàn)電路用邏輯開關(guān)代替。</p><p>  2、主干道處于常允許通行的狀態(tài),支干道有車來時(shí)才允許通行。主干道亮綠燈時(shí),支干道亮紅燈;支干道亮綠燈時(shí),主干道亮紅燈。</p><p>  3、主、支干道均有車時(shí),兩者交替允許通行,主干道每次放行45秒,支干道每次放行25秒,設(shè)立45秒、25秒計(jì)時(shí)、顯示電路,選擇1HZ時(shí)鐘脈沖作為系統(tǒng)時(shí)鐘。<

4、/p><p>  4、在每次由綠燈亮到紅燈亮的轉(zhuǎn)換過程中,要亮5秒黃燈作為過渡,使行駛中的車輛有時(shí)間停到禁行線外,設(shè)立5秒計(jì)時(shí)、顯示電路。</p><p><b>  主要參考資料:</b></p><p>  [1] 潘松著.EDA技術(shù)實(shí)用教程(第二版). 北京:科學(xué)出版社,2005.</p><p>  [2] 康華光主

5、編.電子技術(shù)基礎(chǔ) 模擬部分. 北京:高教出版社,2006.</p><p>  [3] 閻石主編.數(shù)字電子技術(shù)基礎(chǔ). 北京:高教出版社,2003.</p><p>  完成期限 2012.3.2 </p><p>  指導(dǎo)教師 </p><p>  專業(yè)負(fù)責(zé)人

6、 </p><p>  2012年 2月27日</p><p><b>  一、總體設(shè)計(jì)思想</b></p><p><b>  1.基本原理</b></p><p>  交通燈是城市交通中不可缺少的重要工具,是城市交通秩序的重要保障。本實(shí)例就是實(shí)現(xiàn)一個(gè)常見的十字路通燈功能。讀者通過學(xué)習(xí)這

7、個(gè)交通燈控制器,可以實(shí)現(xiàn)一個(gè)更加完整的交通燈。例如實(shí)現(xiàn)實(shí)時(shí)配置各種燈的時(shí)間,手動(dòng)控制各個(gè)燈的狀態(tài)等。</p><p>  一個(gè)十字路口的交通一般分為兩個(gè)方向,每個(gè)方向具有紅燈、綠燈和黃燈3種,另外每個(gè)方向還具有左轉(zhuǎn)燈,因此每個(gè)方向具有4個(gè)燈。</p><p>  這個(gè)交通燈還為每一個(gè)燈的狀態(tài)設(shè)計(jì)了倒計(jì)時(shí)數(shù)碼管顯示功能??梢詾槊恳粋€(gè)燈的狀態(tài)設(shè)置一個(gè)初始值,燈狀態(tài)改變后,開始按照這個(gè)初始值倒計(jì)

8、時(shí)。倒計(jì)時(shí)歸零后,燈的狀態(tài)將會(huì)改變至下一個(gè)狀態(tài)。</p><p>  值得注意的是,交通燈兩個(gè)方向的燈的狀態(tài)是相關(guān)的。也就是說,每個(gè)方向的燈的狀態(tài)影響著另外一個(gè)方向的燈的狀態(tài),這樣才能夠協(xié)調(diào)兩個(gè)方向的車流。如果每個(gè)方向的燈是獨(dú)立變化的,那么交通燈就沒有了意義。</p><p><b>  2.設(shè)計(jì)框圖</b></p><p>  交通燈控制器原

9、理框圖如下圖所示,包括置數(shù)模塊、計(jì)數(shù)模塊、主控制器模塊和譯碼器模塊。置數(shù)模塊將交通燈的點(diǎn)亮?xí)r間預(yù)置到置數(shù)電路中,計(jì)數(shù)模塊以秒為單位倒計(jì)時(shí),當(dāng)計(jì)數(shù)值減為零時(shí),主控電路改變輸出狀態(tài),電路進(jìn)入下一個(gè)狀態(tài)的倒計(jì)時(shí)。其中,核心部分是主控制模塊。</p><p>  二、設(shè)計(jì)步驟和調(diào)試過程</p><p><b>  1、總體設(shè)計(jì)電路</b></p><p&g

10、t;  2、模塊設(shè)計(jì)和相應(yīng)模塊程序</p><p>  (1)交通燈控制器JTDKZ設(shè)計(jì)模塊與程序</p><p><b>  源程序:</b></p><p>  library ieee;</p><p>  USE IEEE.STD_LOGIC_1164.ALL;</p><p>  U

11、SE IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  ENTITY JTDKZ IS</p><p>  PORT(CLK,SM,SB: IN STD_LOGIC;</p><p>  MR,MY,MG,BR,BY,BG: OUT STD_LOGIC);</p><p>  END JTDKZ;</p>

12、;<p>  ARCHITECTURE ART OF JTDKZ IS</p><p>  TYPE STATE_TYPE IS(A,B,C,D);</p><p>  SIGNAL STATE:STATE_TYPE;</p><p><b>  BEGIN</b></p><p>  CNT:PROCES

13、S(CLK) IS</p><p>  VARIABLE S:INTEGER RANGE 0 TO 45;</p><p>  VARIABLE CLR,EN:BIT;</p><p><b>  BEGIN</b></p><p>  IF(CLK'EVENT AND CLK='1')THEN&

14、lt;/p><p>  IF CLR='0'THEN</p><p><b>  S:=0;</b></p><p>  ELSIF EN='0' THEN</p><p><b>  S:=S;</b></p><p><b>  EL

15、SE</b></p><p><b>  S:=S+1;</b></p><p><b>  END IF;</b></p><p>  CASE STATE IS</p><p>  WHEN A=>MR<='0';MY<='0';MG

16、<='1';</p><p>  BR<='1';BY<='0';BG<='0';</p><p>  IF(SB AND SM)='1'THEN</p><p>  IF S=45 THEN</p><p>  STATE<=B;C

17、LR:='0';EN:='0';</p><p><b>  ELSE </b></p><p>  STATE<=A;CLR:='1';EN:='1'; </p><p><b>  END IF;</b></p><p>  

18、ELSIF(SB AND (NOT SM))='1'THEN</p><p>  STATE<=B;CLR:='0';EN:='0';</p><p><b>  ELSE </b></p><p>  STATE<=A;CLR:='1';EN:='1'

19、;</p><p><b>  END IF;</b></p><p>  WHEN B=>MR<='0';MY<='1';MG<='0';</p><p>  BR<='1';BY<='0';BG<='0'

20、;</p><p>  IF S=5 THEN</p><p>  STATE<=C;CLR:='0';EN:='0';</p><p><b>  ELSE</b></p><p>  STATE<=B;CLR:='1';EN:='1';<

21、;/p><p><b>  END IF;</b></p><p>  WHEN C=>MR<='1';MY<='0';MG<='0';</p><p>  BR<='0';BY<='0';BG<='1';<

22、;/p><p>  IF(SM AND SB)='1'THEN</p><p>  IF S=25 THEN</p><p>  STATE<=D;CLR:='0';EN:='0';</p><p><b>  ELSE</b></p><p> 

23、 STATE<=C;CLR:='1';EN:='1';</p><p><b>  END IF;</b></p><p>  ELSIF SB='0' THEN</p><p>  STATE<=D;CLR:='0';EN:='0';</p>

24、;<p><b>  ELSE</b></p><p>  STATE<=C;CLR:='1';EN:='1';</p><p><b>  END IF ;</b></p><p>  WHEN D=>MR<='1';MY<='

25、0';MG<='0';</p><p>  BR<='0';BY<='1';BG<='0';</p><p>  IF S=5 THEN</p><p>  STATE<=A;CLR:='0';EN:='0';</p>

26、<p><b>  ELSE</b></p><p>  STATE<=D;CLR:='1';EN:='1';</p><p><b>  END IF;</b></p><p><b>  END CASE;</b></p><p&

27、gt;<b>  END IF;</b></p><p>  END PROCESS CNT;</p><p>  END ARCHITECTURE ART;</p><p> ?。?)顯示控制單元CSKZ設(shè)計(jì)模塊與程序</p><p><b>  源程序:</b></p><p

28、>  LIBRARY IEEE;</p><p>  USE IEEE.STD_LOGIC_1164.ALL;</p><p>  USE IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  ENTITY CSKZ IS</p><p>  PORT(EN45,EN25,EN05M,EN05

29、B:IN STD_LOGIC;</p><p>  AIN45M,AIN45B:IN STD_LOGIC_VECTOR(7 DOWNTO 0);</p><p>  AIN25M,AIN25B,AIN05:IN STD_LOGIC_VECTOR(7 DOWNTO 0);</p><p>  DOUTM,DOUTB:OUT STD_LOGIC_VECTOR(7 DOW

30、NTO 0));</p><p>  END ENTITY CSKZ;</p><p>  ARCHITECTURE ART OF CSKZ IS</p><p><b>  BEGIN</b></p><p>  PROCESS(EN45,EN25,EN05M,EN05B) IS</p><p>

31、;<b>  BEGIN</b></p><p>  IF EN45='1' THEN</p><p>  DOUTM<=AIN45M(7 DOWNTO 0);DOUTB<=AIN45B(7 DOWNTO 0);</p><p>  ELSIF EN05M ='1'THEN</p>&l

32、t;p>  DOUTM<=AIN05(7 DOWNTO 0);DOUTB<=AIN05(7 DOWNTO 0);</p><p>  ELSIF EN25='1' THEN</p><p>  DOUTM<=AIN25M(7 DOWNTO 0);</p><p>  DOUTB<=AIN25B(7 DOWNTO 0);&

33、lt;/p><p>  ELSIF EN05B='1' THEN</p><p>  DOUTM<=AIN05(7 DOWNTO 0);DOUTB<=AIN05(7 DOWNTO 0);</p><p><b>  END IF ;</b></p><p>  END PROCESS;</p

34、><p>  END ARCHITECTURE ART;</p><p> ?。?)倒計(jì)時(shí)45s——CNT45s設(shè)計(jì)模塊與程序</p><p><b>  源程序:</b></p><p>  LIBRARY IEEE;</p><p>  USE IEEE.STD_LOGIC_1164.ALL;&

35、lt;/p><p>  USE IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  ENTITY CNT45S IS</p><p>  PORT(SB,CLK,EN45:IN STD_LOGIC;</p><p>  DOUT45M,DOUT45B:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));&

36、lt;/p><p>  END CNT45S;</p><p>  ARCHITECTURE ART OF CNT45S IS</p><p>  SIGNAL CNT6B:STD_LOGIC_VECTOR(5 DOWNTO 0);</p><p><b>  BEGIN</b></p><p>  

37、PROCESS(SB,CLK,EN45) IS</p><p><b>  BEGIN</b></p><p>  IF SB='0' THEN CNT6B<=CNT6B-CNT6B-1;</p><p>  ELSIF(CLK'EVENT AND CLK='1')THEN</p>&

38、lt;p>  IF EN45='1'THEN CNT6B<=CNT6B+1;</p><p>  ELSIF EN45='0'THEN CNT6B<=CNT6B-CNT6B-1;</p><p><b>  END IF;</b></p><p><b>  END IF;</

39、b></p><p>  END PROCESS;</p><p>  PROCESS(CNT6B)IS</p><p><b>  BEGIN</b></p><p>  CASE CNT6B IS</p><p>  WHEN"000000"=>DOUT45M&

40、lt;="01000101";DOUT45B<="01010000";</p><p>  WHEN"000001"=>DOUT45M<="01000100";DOUT45B<="01001001";</p><p>  WHEN"000010"=

41、>DOUT45M<="01000011";DOUT45B<="01001000";</p><p>  WHEN"000011"=>DOUT45M<="01000010";DOUT45B<="01000111";</p><p>  WHEN"0

42、00100"=>DOUT45M<="01000001";DOUT45B<="01000110";</p><p>  WHEN"000101"=>DOUT45M<="01000000";DOUT45B<="01000101";</p><p> 

43、 WHEN"000110"=>DOUT45M<="00111001";DOUT45B<="01000100";</p><p>  WHEN"000111"=>DOUT45M<="00111000";DOUT45B<="01000011";</p>

44、<p>  WHEN"001000"=>DOUT45M<="00110111";DOUT45B<="01000010";</p><p>  WHEN"001001"=>DOUT45M<="00110110";DOUT45B<="01000001"

45、;;</p><p>  WHEN"001010"=>DOUT45M<="00110101";DOUT45B<="01000000";</p><p>  WHEN"001011"=>DOUT45M<="00110100";DOUT45B<="0

46、0111001";</p><p>  WHEN"001100"=>DOUT45M<="00110011";DOUT45B<="00111000";</p><p>  WHEN"001101"=>DOUT45M<="00110010";DOUT45B

47、<="00110111";</p><p>  WHEN"001110"=>DOUT45M<="00110001";DOUT45B<="00110110";</p><p>  WHEN"001111"=>DOUT45M<="00110000&q

48、uot;;DOUT45B<="00110101";</p><p>  WHEN"010000"=>DOUT45M<="00101001";DOUT45B<="00110100";</p><p>  WHEN"010001"=>DOUT45M<=&quo

49、t;00101000";DOUT45B<="00110011";</p><p>  WHEN"010010"=>DOUT45M<="00100111";DOUT45B<="00110010";</p><p>  WHEN"010011"=>DOUT

50、45M<="00100110";DOUT45B<="00110001";</p><p>  WHEN"010100"=>DOUT45M<="00100101";DOUT45B<="00110000";</p><p>  WHEN"010101&qu

51、ot;=>DOUT45M<="00100100";DOUT45B<="00101001";</p><p>  WHEN"010110"=>DOUT45M<="00100011";DOUT45B<="00101000";</p><p>  WHEN&qu

52、ot;010111"=>DOUT45M<="00100010";DOUT45B<="00100111";</p><p>  WHEN"011000"=>DOUT45M<="00100001";DOUT45B<="00100110";</p><p&

53、gt;  WHEN"011001"=>DOUT45M<="00100000";DOUT45B<="00100101";</p><p>  WHEN"011010"=>DOUT45M<="00011001";DOUT45B<="00100100";</p

54、><p>  WHEN"011011"=>DOUT45M<="00011000";DOUT45B<="00100011";</p><p>  WHEN"011100"=>DOUT45M<="00010111";DOUT45B<="00100010&

55、quot;;</p><p>  WHEN"011101"=>DOUT45M<="00010110";DOUT45B<="00100001";</p><p>  WHEN"011110"=>DOUT45M<="00010101";DOUT45B<=&qu

56、ot;00100000";</p><p>  WHEN"011111"=>DOUT45M<="00010100";DOUT45B<="00011001";</p><p>  WHEN"100000"=>DOUT45M<="00010011";DOU

57、T45B<="00011000";</p><p>  WHEN"100001"=>DOUT45M<="00010010";DOUT45B<="00010111";</p><p>  WHEN"100010"=>DOUT45M<="000100

58、01";DOUT45B<="00010110";</p><p>  WHEN"100011"=>DOUT45M<="00010000";DOUT45B<="00010101";</p><p>  WHEN"100100"=>DOUT45M<=

59、"00001001";DOUT45B<="00010100";</p><p>  WHEN"100101"=>DOUT45M<="00001000";DOUT45B<="00010011";</p><p>  WHEN"100110"=>

60、DOUT45M<="00000111";DOUT45B<="00010010";</p><p>  WHEN"100111"=>DOUT45M<="00000110";DOUT45B<="00010001";</p><p>  WHEN"10100

61、0"=>DOUT45M<="00000101";DOUT45B<="00010000";</p><p>  WHEN"101001"=>DOUT45M<="00000100";DOUT45B<="00001001";</p><p>  WHE

62、N"101010"=>DOUT45M<="00000011";DOUT45B<="00001000";</p><p>  WHEN"101011"=>DOUT45M<="00000010";DOUT45B<="00000111";</p>&l

63、t;p>  WHEN"101100"=>DOUT45M<="00000001";DOUT45B<="00000110";</p><p>  WHEN OTHERS=>DOUT45M<="01000110";DOUT45B<="01010001";</p>&

64、lt;p><b>  END CASE;</b></p><p>  END PROCESS;</p><p>  END ARCHITECTURE ART;</p><p> ?。?)倒計(jì)時(shí)25s——CNT25s設(shè)計(jì)模塊與程序</p><p><b>  源程序:</b></p>

65、<p>  LIBRARY IEEE;</p><p>  USE IEEE.STD_LOGIC_1164.ALL;</p><p>  USE IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  ENTITY CNT25S IS</p><p>  PORT(SB,SM,CLK,EN25:IN STD

66、_LOGIC;</p><p>  DOUT25M,DOUT25B:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));</p><p>  END ENTITY CNT25S;</p><p>  ARCHITECTURE ART OF CNT25S IS</p><p>  SIGNAL CNT5B:STD_LOGIC_V

67、ECTOR(4 DOWNTO 0);</p><p><b>  BEGIN</b></p><p>  PROCESS(SB,SM,CLK,EN25)IS</p><p><b>  BEGIN</b></p><p>  IF SB='0' OR SM='0'TH

68、EN CNT5B<=CNT5B-CNT5B-1;</p><p>  ELSIF SM='0'THEN CNT5B<=CNT5B-CNT5B-1;</p><p>  ELSIF(CLK'EVENT AND CLK='1')THEN</p><p>  IF EN25='1'THEN CNT5B&l

69、t;=CNT5B+1;</p><p>  ELSIF EN25='0'THEN CNT5B<=CNT5B-CNT5B-1;</p><p><b>  END IF;</b></p><p><b>  END IF;</b></p><p>  END PROCESS;&l

70、t;/p><p>  PROCESS(CNT5B)IS</p><p><b>  BEGIN</b></p><p>  CASE CNT5B IS</p><p>  WHEN"00000"=>DOUT25B<="00100101";DOUT25M<="

71、00110000";</p><p>  WHEN"00001"=>DOUT25B<="00100100";DOUT25M<="00101001";</p><p>  WHEN"00010"=>DOUT25B<="00100011";DOUT25M&

72、lt;="00101000";</p><p>  WHEN"00011"=>DOUT25B<="00100010";DOUT25M<="00100111";</p><p>  WHEN"00100"=>DOUT25B<="00100001"

73、;;DOUT25M<="00100110";</p><p>  WHEN"00101"=>DOUT25B<="00100000";DOUT25M<="00100101";</p><p>  WHEN"00110"=>DOUT25B<="000

74、11001";DOUT25M<="00100100";</p><p>  WHEN"00111"=>DOUT25B<="00011000";DOUT25M<="00100011";</p><p>  WHEN"01000"=>DOUT25B<

75、="00010111";DOUT25M<="00100010";</p><p>  WHEN"01001"=>DOUT25B<="00010110";DOUT25M<="00100001";</p><p>  WHEN"01010"=>D

76、OUT25B<="00010101";DOUT25M<="00100000";</p><p>  WHEN"01011"=>DOUT25B<="00010100";DOUT25M<="00011001";</p><p>  WHEN"01100&q

77、uot;=>DOUT25B<="00010011";DOUT25M<="00011000";</p><p>  WHEN"01101"=>DOUT25B<="00010010";DOUT25M<="00010111";</p><p>  WHEN&qu

78、ot;01110"=>DOUT25B<="00010001";DOUT25M<="00010110";</p><p>  WHEN"01111"=>DOUT25B<="00010000";DOUT25M<="00010101";</p><p>

79、;  WHEN"10000"=>DOUT25B<="00001001";DOUT25M<="00010100";</p><p>  WHEN"10001"=>DOUT25B<="00001000";DOUT25M<="00010011";</p>

80、<p>  WHEN"10010"=>DOUT25B<="00000111";DOUT25M<="00010010";</p><p>  WHEN"10011"=>DOUT25B<="00000110";DOUT25M<="00010001";

81、</p><p>  WHEN"10100"=>DOUT25B<="00000101";DOUT25M<="00010000";</p><p>  WHEN"10101"=>DOUT25B<="00000100";DOUT25M<="00001

82、001";</p><p>  WHEN"10110"=>DOUT25B<="00000011";DOUT25M<="00001000";</p><p>  WHEN"10111"=>DOUT25B<="00000010";DOUT25M<=&

83、quot;00000111";</p><p>  WHEN"11000"=>DOUT25B<="00000001";DOUT25M<="00000110";</p><p>  WHEN OTHERS=>DOUT25B<="00100110";DOUT25M<=&

84、quot;00110001";</p><p><b>  END CASE;</b></p><p>  END PROCESS;</p><p>  END ARCHITECTURE ART;</p><p>  (5)倒計(jì)時(shí)5s——CNT05s的設(shè)計(jì)模塊與程序</p><p>&l

85、t;b>  源程序:</b></p><p>  LIBRARY IEEE;</p><p>  USE IEEE.STD_LOGIC_1164.ALL;</p><p>  USE IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  ENTITY CNT05S IS</p><

86、p>  PORT(CLK,EN05M,EN05B:IN STD_LOGIC;</p><p>  DOUT5:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));</p><p>  END CNT05S;</p><p>  ARCHITECTURE ART OF CNT05S IS</p><p>  SIGNAL

87、CNT3B:STD_LOGIC_VECTOR(2 DOWNTO 0); </p><p><b>  BEGIN</b></p><p>  PROCESS(CLK,EN05M,EN05B)IS</p><p><b>  BEGIN</b></p><p>  IF(CLK'EVENT A

88、ND CLK='1')THEN</p><p>  IF EN05M='1'THEN </p><p>  CNT3B<=CNT3B+1;</p><p>  ELSIF EN05B='1'THEN </p><p>  CNT3B<=CNT3B+1;</p><

89、p><b>  ELSE</b></p><p>  CNT3B<="000";</p><p><b>  END IF;</b></p><p><b>  END IF;</b></p><p>  END PROCESS;</p&g

90、t;<p>  PROCESS(CNT3B)</p><p><b>  BEGIN</b></p><p>  CASE CNT3B IS</p><p>  WHEN"000"=>DOUT5<="00000101";</p><p>  WHEN&qu

91、ot;001"=>DOUT5<="00000100";</p><p>  WHEN"010"=>DOUT5<="00000011";</p><p>  WHEN"011"=>DOUT5<="00000010";</p><

92、p>  WHEN"100"=>DOUT5<="00000001";</p><p>  WHEN OTHERS=>DOUT5<="00000000";</p><p><b>  END CASE;</b></p><p>  END PROCESS;&l

93、t;/p><p>  END ARCHITECTURE ART;</p><p>  3、仿真及仿真結(jié)果分析</p><p><b>  仿真圖</b></p><p><b>  4、實(shí)驗(yàn)調(diào)試結(jié)果</b></p><p>  開始時(shí),支干道沒有車輛行駛。主干道處于常通行狀態(tài)

94、,支干道處于禁止?fàn)顟B(tài);</p><p>  當(dāng)支干道有車來時(shí),主干道亮綠燈,經(jīng)行45秒倒計(jì)時(shí),支干道亮紅燈,經(jīng)行25秒倒計(jì)時(shí);</p><p>  主干道45秒倒計(jì)時(shí)結(jié)束后跳變到黃燈,進(jìn)行5秒倒計(jì)時(shí),支干道繼續(xù)亮紅燈,進(jìn)行倒計(jì)時(shí);</p><p>  主干道5秒倒計(jì)時(shí)結(jié)束后跳變到紅燈,經(jīng)行45秒倒計(jì)時(shí),支干道跳變到綠燈,進(jìn)行25秒倒計(jì)時(shí);</p>&l

95、t;p>  支干道25秒倒計(jì)時(shí)結(jié)束后跳變到黃燈,進(jìn)行5秒倒計(jì)時(shí),主干道繼續(xù)亮紅燈,進(jìn)行倒計(jì)時(shí);</p><p>  支干道5秒倒計(jì)時(shí)結(jié)束后,判斷支干道是否有車,若有車跳變到S1狀態(tài),沒有車跳變到S0狀態(tài)</p><p><b>  三、結(jié)論及心得體會(huì)</b></p><p>  這次課程設(shè)計(jì)要求我們熟練掌握EDA理論、數(shù)字系統(tǒng)設(shè)計(jì)等相關(guān)知

96、識(shí),獨(dú)立思考完成自己的設(shè)計(jì)。設(shè)計(jì)過程中出現(xiàn)很多問題,關(guān)于各個(gè)不同功能模塊設(shè)計(jì)的實(shí)現(xiàn),程序編寫也出現(xiàn)了很多錯(cuò)誤。</p><p>  將編寫好的源程序輸入電腦,編譯后出現(xiàn)很多錯(cuò)誤,這些錯(cuò)誤有許多時(shí)平時(shí)的實(shí)驗(yàn)遇到過的,例如:輸入的分號(hào)位置不對(duì),零和字母o弄混淆了,漏掉了 end if等等,幸好這些錯(cuò)誤在平時(shí)的實(shí)驗(yàn)中遇到了,所以改錯(cuò)誤很容易,但同時(shí)也說明了,平時(shí)的錯(cuò)誤現(xiàn)在還在犯,證明錯(cuò)誤我還沒有完全的改正,這點(diǎn)我以后一

97、定要注意了。同樣的錯(cuò)誤犯了兩次就不能再犯了。除了常見的錯(cuò)誤外,還有一些是程序編寫的錯(cuò)誤。這類錯(cuò)誤說明我掌握的知識(shí)還不夠,僅憑我自己的能力還不能把錯(cuò)誤找出來,所以就請(qǐng)老師幫忙了!經(jīng)過多次的修改和向別人請(qǐng)教,錯(cuò)誤都排除了,編譯通過了,但功能沒有達(dá)到設(shè)計(jì)的要求,所以我們又請(qǐng)老師幫忙解決。最后,經(jīng)過幾天在實(shí)驗(yàn)室的努力,終于實(shí)現(xiàn)了課程設(shè)計(jì)的全部要求。</p><p>  通過本次設(shè)計(jì),我系統(tǒng)的了解了交通燈的設(shè)計(jì)流程,尤其是

98、硬、軟件的設(shè)計(jì)方法,掌握了延時(shí)程序的基本功能及編程方法,掌握了它的一般原理,也進(jìn)一步掌握了QuartusⅡ的使用。而且也開拓了思路,鍛煉了實(shí)踐動(dòng)手能力,提高了分工協(xié)作能力和分析問題,解決問題的能力,達(dá)到了本次課程設(shè)計(jì)的目的。</p><p><b>  參考資料</b></p><p>  [1] 潘松著.EDA技術(shù)實(shí)用教程(第二版). 北京:科學(xué)出版社,2005.&

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論