eda交通燈課程設(shè)計_第1頁
已閱讀1頁,還剩16頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、<p><b>  EDA技術(shù)實用教程</b></p><p>  課題名稱: EDA課程設(shè)計 </p><p>  學(xué) 院: 大數(shù)據(jù)與信息工程學(xué)院 </p><p>  專 業(yè): 通信工程

2、 </p><p>  年 級: 通信121 </p><p>  任課教師: </p><p>  2015 年 5 月 27 日</p><p><b>  前言</b>

3、;</p><p>  伴隨著社會的發(fā)展以及人類生活水平的提高,汽車的數(shù)量在D的DEA 技術(shù)的發(fā)展和應(yīng)用領(lǐng)域的擴大與深入,EDA 技術(shù)在電子信息,通信,自動,控制及計算機應(yīng)用等領(lǐng)域的重要性日益突出。隨著技術(shù)市場與人才市場對DEA 的不斷的增加,交通的問題日益突出,單單依靠人力來指揮交通已經(jīng)不可行了,所以,設(shè)計交通燈來完成這個需求就顯的越加迫切了.為了確保十字路口的行人和車輛順利、暢通地通過,往往采用電子控制的交通

4、信號來進行指揮。以下就是運用數(shù)字電子設(shè)計出的交通燈:其中紅燈亮,表示該條路禁止通行;黃燈亮表示停車;綠燈亮表示允許通行。交通管理器工作流程圖:</p><p><b>  1、設(shè)計任務(wù)</b></p><p>  設(shè)計一個十字路口的交通燈控制系統(tǒng),用實驗平臺上的LED 發(fā)光二極管顯示車輛通過的方向(甲車道和乙車道各一組),用數(shù)碼管顯示該方向的亮燈時間。要求:交通燈按正

5、常狀態(tài)依次點亮紅、黃、綠燈,交警可以根據(jù)路口車流量的情況分別設(shè)置紅、綠、黃燈持續(xù)點亮的時間。在紅、綠燈點亮?xí)r,能夠顯示其點亮持續(xù)的時間。</p><p>  2、題目分析與整體構(gòu)思</p><p>  2.1 交通燈控制器應(yīng)具備的功能</p><p>  設(shè)甲車道和乙車道方向的車流量大致相同,因此紅、黃、綠燈的時長也相同,考慮到黃燈的作用是警示已過停車線的司機盡快離

6、開路口,而路口總寬度不變, 因此將其固定為5 秒,而紅燈亮的時間等于綠燈亮的時間加上黃燈亮的時間,因此緊對綠燈點亮?xí)r間進行設(shè)置就可以同時改變紅燈亮的時間,這里將綠燈所能設(shè)置的最長時間設(shè)置為40 秒即(0<t1<40)。同時用數(shù)碼管正計時指示當(dāng)前狀態(tài)(紅、黃、綠)所亮的時間。</p><p><b>  2.2 實現(xiàn)方案</b></p><p>  2.2.

7、1 從題目中計數(shù)值與交通燈的亮滅的關(guān)系如圖(1)所示:圖一</p><p>  2.2.2 交通燈控制器系統(tǒng)框圖如圖2 所示:</p><p><b>  3、硬件電路設(shè)計</b></p><p>  3.1 分頻器的設(shè)計</p><p>  分頻器實現(xiàn)的是將高頻時鐘信號轉(zhuǎn)換成低頻的時鐘信號,用于觸發(fā)控制器和計數(shù)器。該分

8、頻器實現(xiàn)的是一千分頻,將一千赫茲的時鐘信號分頻成一赫茲的時鐘信號。生成的Symbol 文件如圖3 和仿真波形如圖4 所示。</p><p><b>  圖三</b></p><p><b>  圖四</b></p><p>  3.2 控制器的設(shè)計</p><p>  控制器的作用是根據(jù)計數(shù)器的計數(shù)

9、值及t1 的輸入數(shù)據(jù)控制發(fā)光二極管的亮、滅,以及輸出正計時數(shù)值給七段數(shù)碼管的分位譯碼電路。本控制器是利用時鐘沿的下降沿讀取前級計數(shù)器的計數(shù)值,然后作出反應(yīng);生成的實體模塊如圖5 和仿真波形如圖6所示。</p><p><b>  圖五</b></p><p><b>  圖六</b></p><p>  3.3 計數(shù)器的設(shè)

10、計</p><p>  計數(shù)器1 的計數(shù)范圍為由t1 引腳輸入。計到t1 后,下一個時鐘沿恢復(fù)到0,開始下一輪計數(shù)。仿真波形如圖當(dāng)t1 取7 時,計數(shù)器計數(shù)到7 后清零。實體模塊如圖7 和仿真波形如圖8。計數(shù)器2 和計數(shù)器3 的實體模塊如圖9 和圖10 所示。</p><p><b>  圖7圖9圖10</b></p><p><b>

11、;  圖8</b></p><p>  3.4 分位譯碼電路的設(shè)計--1</p><p>  由于控制器輸出的正計時數(shù)值可能是1 位或者2 位十進制數(shù),因此在七段數(shù)碼管的譯碼電路前要加上分位電路(即將其分成2 個1 位的十進制數(shù),如40 分成4 和0,5分為0 和5)。與控制器一樣,分位電路同樣可以由時鐘驅(qū)動,也可以設(shè)計成純組合邏輯電路??刂破髦?,引入了寄存器。本電路中分位電路

12、使用組合邏輯電路實現(xiàn)。生成的實體模塊如圖11 及仿真波形如圖12。</p><p><b>  圖11</b></p><p><b>  圖12</b></p><p>  3.5 分位譯碼電路的設(shè)計—2</p><p>  分位譯碼電路2 和分位譯碼電路1 的功能是一樣的,為了區(qū)別兩個不同的引腳

13、</p><p><b>  圖( 8)</b></p><p><b>  圖(11)</b></p><p><b>  圖(12)</b></p><p>  NumC[3..0]和NumD[3..0]因此再設(shè)計一個電路,只是引腳名的名稱不同,如圖13。</p>

14、;<p>  3.6 數(shù)碼管驅(qū)動的設(shè)計——綠燈和紅燈驅(qū)動</p><p>  要求數(shù)碼管共陽極連接(共陽極的公共端為低電平時,LED 不亮),在設(shè)計中為每個數(shù)碼管都添加了一個驅(qū)動電路,在使用時通過調(diào)用模塊來實現(xiàn)。本模塊設(shè)計為時序邏輯電路,采用下降沿觸發(fā)。實體模塊如圖14 和仿真波形如圖15。</p><p><b>  圖14</b></p>

15、<p><b>  圖15</b></p><p>  3.7 數(shù)碼管驅(qū)動的設(shè)計——黃燈驅(qū)動</p><p>  由于黃燈固定時間是5 秒,因此變化范圍是0 至5 秒,七段數(shù)碼管只要能顯示0-5 的數(shù)就行了,所以單獨用一個數(shù)碼管驅(qū)動。實體模塊如圖16和仿真波形如圖17。</p><p><b>  圖16</b&g

16、t;</p><p><b>  圖17</b></p><p>  3.8 頂層連接及其仿真</p><p>  交通燈控制器的頂層文件連接圖如圖18 和仿真波形如圖19。</p><p><b>  圖18</b></p><p><b>  圖19</b

17、></p><p>  對圖18 和19 的解釋:在圖18 中,綠燈計數(shù)器直接接分位譯碼器1,紅燈計數(shù)器直接接分位譯碼器2,每個譯碼器分別接兩個驅(qū)動電路,然后接輸出。左邊5 個驅(qū)動器接的數(shù)碼管顯示的是甲車道各個燈亮的時間,右邊5 個驅(qū)動器接的數(shù)碼管顯示的是乙車道各個燈亮的時間。在19 圖中可以看出甲、乙車道綠燈亮的時間加上黃燈亮的時間等于甲車道紅燈滅的時間。同時我們可以看出該系統(tǒng)滿足我們所需的要求。<

18、/p><p><b>  4、程序設(shè)計</b></p><p>  4.1 分頻器的設(shè)計</p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  entity fredevider is ----------分頻

19、器</p><p><b>  port</b></p><p>  (clkin:in std_logic;</p><p>  clkout:out std_logic);</p><p><b>  end;</b></p><p>  architecture dev

20、ider of fredevider is</p><p>  consta nt N:integer:=499;</p><p>  signa l counter:integer range 0 to N;</p><p><b>  圖( 1</b></p><p>  signa l Clk:std_logic;

21、</p><p><b>  begin</b></p><p>  process(clkin)</p><p><b>  begin</b></p><p>  if rising_ed ge(clkin)then</p><p>  if Counter=N then

22、</p><p>  counter<=0;</p><p>  clk<=not clk;</p><p><b>  else</b></p><p>  counter<=counter+1;</p><p><b>  end if;</b><

23、/p><p><b>  end if;</b></p><p>  end process;</p><p>  clkout<=clk;</p><p><b>  end;</b></p><p>  4.2 控制器的設(shè)計</p><p>  

24、控制器的作用是根據(jù)計數(shù)器的計數(shù)值控制發(fā)光二極管的亮、滅,以及輸出正計時數(shù)值給七段譯碼管的分譯碼電路。</p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  entity control is -----------控制器</p><p>  port

25、(clk :in std_logic;</p><p>  c1,c2,c3:out std_logic; ------計數(shù)器工作時能信號,為1 時計數(shù)</p><p>  w1,w2,w3:in std_logic; ------計數(shù)器指示信號,在計數(shù)過程中,相應(yīng)的指示信號為0,計數(shù)結(jié)束時為1</p><p>  r1,r2 :out std_logic; ---

26、---分別為甲乙車道紅燈</p><p>  y1,y2 :out std_logic; -------分別為甲乙車道黃燈</p><p>  g1,g2 :out std_logic; ------分別為甲乙車道綠燈</p><p>  reset :in std_logic); -------復(fù)位信號,高電平有效</p><p>  en

27、d control ;</p><p>  architecture a of control is</p><p>  type state_space is (s3,s2,s1,s0) ; -----四種狀態(tài)</p><p>  signa l state : state_space ;</p><p><b>  begin&

28、lt;/b></p><p>  process (clk)</p><p><b>  begin</b></p><p>  if reset='1' then</p><p>  state<=s0; --------復(fù)位時狀態(tài)為S0</p><p>  else

29、 if (clk'event and clk='1') then -------時鐘上升沿到來</p><p>  case state is</p><p><b>  when s0=></b></p><p>  if w1='1' then</p><p>  sta

30、te<=s1;</p><p><b>  end if ;</b></p><p><b>  when s1=></b></p><p>  if w2='1' then</p><p>  state<=s2;</p><p><

31、b>  end if ;</b></p><p><b>  when s2=></b></p><p>  if w3='1' then</p><p>  state<=s3;</p><p><b>  end if ;</b></p>

32、<p><b>  when s3=></b></p><p>  if w2='1' then</p><p>  state<=s0;</p><p><b>  end if ;</b></p><p><b>  end case;<

33、/b></p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  end process;</p><p>  c1<='1'when state =s0 else '0';</p&g

34、t;<p>  c2<='1'when state =s1 or state =s3 else '0';</p><p>  c3<='1'when state =s2 else '0';</p><p>  r1<='1'when state =s1 or state =s0

35、else '0';</p><p>  y1<='1'when state =s3else '0';</p><p>  g1<='1'when state =s2 else '0';</p><p>  r2<='1'when state =s2 or

36、 state =s3 else '0';</p><p>  y2<='1'when state =s1 else '0';</p><p>  g2<='1'when state =s0 else '0';</p><p><b>  end a ;</b&

37、gt;</p><p>  4.3 計數(shù)器的設(shè)計</p><p>  4.3.1 綠燈計數(shù)器</p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  entity counter1 is</p><p> 

38、 port (clk :in std_logic;</p><p>  enable :in std_logic;</p><p>  t1 :in integer range 0 to 40 ; -------綠燈可設(shè)置亮的時間</p><p>  c1 :out std_logic);</p><p>  end counter1 ;&l

39、t;/p><p>  architecture a of counter1 is</p><p><b>  begin</b></p><p>  process (clk)</p><p>  variable cnt : integer range 0 to 40 ; -----變量cnt 定義為常數(shù),取值范圍為0&l

40、t;/p><p><b>  到40</b></p><p><b>  begin</b></p><p>  if (clk'event and clk='1') then -----時鐘上升沿到來</p><p>  if enable='1'a nd cn

41、t<t1 then -----使能信號為1,并且cnt 小于t1 時</p><p>  cnt:=cnt+1; ------加計數(shù)</p><p><b>  else</b></p><p><b>  cnt:=0;</b></p><p><b>  end if ;<

42、/b></p><p>  if cnt=t1 then</p><p>  c1<='1'; ---------乙道開始通行,S0 狀態(tài)</p><p><b>  else</b></p><p><b>  c1<='0';</b></p

43、><p><b>  end if ;</b></p><p><b>  end if ;</b></p><p>  end process;</p><p><b>  end a ;</b></p><p>  4.3.2 紅燈計數(shù)器</p&g

44、t;<p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  entity counter2 is</p><p>  port (clk :in std_logic;</p><p>  enable :in std_logic;</p>

45、;<p>  t1 :in integer range 0 to 40 ;</p><p>  c2 :out std_logic);</p><p>  end counter2 ;</p><p>  architecture a of counter2 is</p><p>  consta nt y:Integer:=5

46、; ---------黃燈固定時間為5 秒</p><p>  signa l t2 : integer range 0 to 45; -------紅燈亮的時間</p><p><b>  begin</b></p><p>  process (clk)</p><p>  variable cnt : intege

47、r range 0 to 45 ; ----------變量cnt 定義為常數(shù),取值范圍為0</p><p><b>  到45</b></p><p><b>  begin</b></p><p><b>  t2<=t1+y;</b></p><p>  if (c

48、lk'event and clk='1') then -------時鐘上升沿到來</p><p>  if enable='1'a nd cnt<t2 then -----使能信號為1,并且cnt 小于t2 時</p><p>  cnt:=cnt+1;</p><p><b>  else</b>

49、;</p><p><b>  cnt:=0;</b></p><p><b>  end if ;</b></p><p>  if cnt=t2 then</p><p>  c2<='1'; -------工作在S1 或S3 狀態(tài)</p><p>

50、<b>  else</b></p><p><b>  c2<='0';</b></p><p><b>  end if ;</b></p><p><b>  end if ;</b></p><p>  end process

51、;</p><p><b>  end a;</b></p><p>  4.3.3黃燈計數(shù)器</p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  entity counter3 is</p>

52、<p>  port (clk :in std_logic;</p><p>  enable :in std_logic;</p><p>  c3 :out std_logic;</p><p>  m3 :out integer range 0 to 5 );</p><p>  end counter3;</p&g

53、t;<p>  architecture a of counter3 is</p><p><b>  begin</b></p><p>  process (clk)</p><p>  variable cnt : integer range 0 to 5 ; -------變量cnt 定義為常數(shù),取值范圍為0</p&

54、gt;<p><b>  到5</b></p><p><b>  begin</b></p><p>  if (clk'event and clk='1') then -----時鐘上升沿到來</p><p>  if enable='1'a nd cnt<5

55、 then -----使能信號為1,并且cnt 小于5 時</p><p>  cnt:=cnt+1; ------加計數(shù)</p><p><b>  else</b></p><p><b>  cnt:=0;</b></p><p><b>  end if ;</b>&l

56、t;/p><p>  if cnt=5 then</p><p>  c3<='1'; ---------工作在S2 狀態(tài)</p><p><b>  else</b></p><p><b>  c3<='0';</b></p><p&g

57、t;<b>  end if ;</b></p><p><b>  end if ;</b></p><p><b>  m3<=cnt;</b></p><p>  end process;</p><p><b>  end a ;</b>&l

58、t;/p><p>  4.4 分位譯碼電路設(shè)計--1</p><p>  LIBRARY IEEE;</p><p>  USE IEEE.STD_LOGIC_1164.ALL;</p><p>  ENTITY Fenwei1 IS</p><p><b>  PORT</b></p>

59、<p>  (Numin:IN integer RANGE 0 TO 45;</p><p>  NumA,NumB:OUT Integer RANGE 0 to 9 -------綠燈亮的時間,十位和個位數(shù)字</p><p><b>  );</b></p><p><b>  END;</b></p&

60、gt;<p>  ARCHITECTURE behavior OF Fenwei1 IS</p><p><b>  BEGIN</b></p><p>  process(Numin)</p><p><b>  BEGIN</b></p><p>  IF Numin>=40

61、 THEN -------輸入計時數(shù)據(jù)大于40</p><p>  NumA<=4; -------把十位數(shù)字送給A</p><p>  NumB<=Numin-40; -------把個位數(shù)字送給B</p><p>  ELSIF Numin>=30 THEN</p><p><b>  NumA<=3;&

62、lt;/b></p><p>  NumB<=Numin-30;</p><p>  ELSIF Numin>=20 THEN</p><p><b>  NumA<=2;</b></p><p>  NumB<=Numin-20;</p><p>  ELSIF N

63、umin>=10 THEN</p><p><b>  NumA<=1;</b></p><p>  NumB<=Numin-10;</p><p><b>  ELSE</b></p><p><b>  NumA<=0;</b></p>

64、<p>  NumB<=Numin;</p><p><b>  END IF;</b></p><p>  END PROCESS;</p><p><b>  END;</b></p><p>  4.5 分位譯碼電路的設(shè)計—2</p><p>  LI

65、BRARY IEEE;</p><p>  USE IEEE.STD_LOGIC_1164.ALL;</p><p>  ENTITY Fenwei2 IS</p><p><b>  PORT</b></p><p>  (Numin:IN integer RANGE 0 TO 45;</p><p

66、>  NumC,NumD:OUT Integer RANGE 0 to 9 -----紅燈亮的時間,十位和個位</p><p><b>  );</b></p><p><b>  END;</b></p><p>  ARCHITECTURE behavior OF Fenwei2 IS</p>&l

67、t;p><b>  BEGIN</b></p><p>  process(Numin)</p><p><b>  BEGIN</b></p><p>  IF Numin>=40 THEN</p><p><b>  NumC<=4;</b></p&

68、gt;<p>  NumD<=Numin-40;</p><p>  ELSIF Numin>=30 THEN</p><p><b>  NumC<=3;</b></p><p>  NumD<=Numin-30;</p><p>  ELSIF Numin>=20 THEN

69、</p><p><b>  NumC<=2;</b></p><p>  NumD<=Numin-20;</p><p>  ELSIF Numin>=10 THEN</p><p><b>  NumC<=1;</b></p><p>  NumD

70、<=Numin-10;</p><p><b>  ELSE</b></p><p><b>  NumC<=0;</b></p><p>  NumD<=Numin;</p><p><b>  END IF;</b></p><p>

71、;  END PROCESS;</p><p><b>  END;</b></p><p>  4.6 數(shù)碼管驅(qū)動的設(shè)計</p><p>  4.6.1 紅綠燈驅(qū)動</p><p>  LIBRARY IEEE;</p><p>  USE IEEE.STD_LOGIC_1164.ALL;<

72、;/p><p>  ENTITY qudong IS</p><p><b>  PORT</b></p><p>  (clk:in STD_LOGIC;</p><p>  enable :in std_logic;</p><p>  data:IN integer RANGE 0 TO 9;

73、------輸入數(shù)字</p><p>  segout: out STD_LOGIC_VECTOR(6 downto 0)); -------七段顯示器輸出</p><p><b>  END;</b></p><p>  ARCHITECTURE behavior OF qudong IS</p><p><b&

74、gt;  BEGIN</b></p><p>  process(Clk,data)</p><p><b>  BEGIN</b></p><p>  IF falling_edge(Clk) and enable='1' then ------下降沿到來和使能信號為1 時</p><p>

75、  case data is</p><p>  when 0=>segout<="1111110";</p><p>  when 1=>segout<="0110000";</p><p>  when 2=>segout<="1101101";</p>

76、<p>  when 3=>segout<="1111001";</p><p>  when 4=>segout<="0110011";</p><p>  when 5=>segout<="1011011";</p><p>  when 6=>se

77、gout<="0011111";</p><p>  when 7=>segout<="1110000";</p><p>  when 8=>segout<="1111111";</p><p>  when 9=>segout<="1110011&qu

78、ot;;</p><p>  when others =>null;</p><p><b>  END CASE;</b></p><p><b>  END IF;</b></p><p>  END PROCESS;</p><p><b>  END;

79、</b></p><p>  4.6.2 黃燈驅(qū)動</p><p>  LIBRARY IEEE;</p><p>  USE IEEE.STD_LOGIC_1164.ALL;</p><p>  ENTITY HDqudong IS ---------黃燈驅(qū)動</p><p><b>  POR

80、T</b></p><p>  (clk:in STD_LOGIC;</p><p>  enable :in std_logic;</p><p>  data:IN integer RANGE 0 TO 5; ------黃燈變化范圍</p><p>  segout: out STD_LOGIC_VECTOR(6 downt

81、o 0));</p><p><b>  END;</b></p><p>  ARCHITECTURE behavior OF HDqudong IS</p><p><b>  BEGIN</b></p><p>  process(Clk,data)</p><p>&

82、lt;b>  BEGIN</b></p><p>  IF falling_edge(Clk) and enable='1' then</p><p>  case data is</p><p>  when 0=>segout<="1111110";</p><p>  w

83、hen 1=>segout<="0110000";</p><p>  when 2=>segout<="1101101";</p><p>  when 3=>segout<="1111001";</p><p>  when 4=>segout<=&quo

84、t;0110011";</p><p>  when 5=>segout<="1011011";</p><p>  when others =>null;</p><p><b>  END CASE;</b></p><p><b>  END IF;<

85、/b></p><p>  END PROCESS;</p><p><b>  END;</b></p><p><b>  5﹑硬件測試</b></p><p>  把頂層文件連接圖進行編譯仿真后就可以進行硬件測試了,我們所用的仿真實驗箱是GW48 系列SOPC/EDA 實驗開發(fā)系統(tǒng)(杭州

86、康芯電子有限公司現(xiàn)代DSP 實驗開發(fā)系統(tǒng))。在實驗箱中因為有1HZ 的頻率并且自帶譯碼器,所以在下載時用的頂層文件連接圖就把分頻器和譯碼器驅(qū)動電路給去掉了,如圖(20),在實驗過程中由于數(shù)碼管不夠,圖中5 個數(shù)碼管顯示的是一個車道的綠、紅、黃燈時間。</p><p><b>  圖20</b></p><p><b>  6、引腳鎖定</b>&l

87、t;/p><p>  在下載之前,要進行引腳的鎖定,我們用的是模式5,各個端口的引腳鎖定如圖21。 </p><p><b>  圖21</b></p><p>  在確定引腳鎖定正確后再編譯一次,然后下載到實驗箱中。首先對綠燈時間t1 進行置數(shù),可在0 到40 任意置數(shù),不妨設(shè)為7 秒,然后系統(tǒng)開始工作,綠燈從0 開始正計時到7 再跳到黃燈從

88、0 開始正計時到5 然后再跳到紅燈從0 開始正計時到12。相應(yīng)的另一車道的紅、綠、黃燈會變化只是沒有顯示相應(yīng)的時間。</p><p><b>  7、心得體會</b></p><p>  EDA 課程設(shè)計,使我對EDA 的認(rèn)識有提高了一個層次,現(xiàn)在能夠熟練的使用QuartsII,能夠用VHDL 語言編寫簡單的、實用的小程序,這次EDA 課程設(shè)計重點學(xué)習(xí)了交通燈部分程序

89、的編寫、調(diào)試、還有硬件下載、操作等過程。在整個課程設(shè)計的過程中,我查閱了大量的關(guān)于EDA 的資料,特別是在網(wǎng)上和圖書館我找到了大量的關(guān)于硬件編程的資料。另外,圖(21)- 22 -在課程設(shè)計的過程中我認(rèn)為調(diào)試程序是很重要的,要有耐心。我在第一次編譯時有三十幾個錯誤,其中有輸入錯誤、語法錯誤,如:工程名和實體名不一致,少了分號或者少了END IF 等錯誤。發(fā)現(xiàn)錯誤之后就要一遍一遍的仔細查錯,直到?jīng)]有錯誤,然后可以進行波型仿真了。</

90、p><p><b>  8﹑參考文獻</b></p><p>  (1)潘松,黃繼業(yè).EDA 技術(shù)實用教程.科學(xué)出版社.2006.9</p><p> ?。?)李輝.PLD 與數(shù)字系統(tǒng)設(shè)計.西安電子科技大學(xué)出版社.2005</p><p> ?。?)蔣璇.臧蠢華.數(shù)字系統(tǒng)設(shè)計與PLD 應(yīng)用(第二版).電子工業(yè)出 &l

91、t;/p><p><b>  版社.2005</b></p><p>  劉篤仁、楊萬海編著.在系統(tǒng)可編程技術(shù)及其器件原理與應(yīng)用. </p><p>  西安:電子科技大學(xué)出版社.2001</p><p>  張原編著.可編程邏輯器件設(shè)計及應(yīng)用.北京:機械工業(yè)出版 </p><p><b>

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論