2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩17頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p>  數(shù)字電子技術(shù)課程設(shè)計(jì)</p><p>  設(shè)計(jì)題目:六路搶答器的設(shè)計(jì)</p><p><b>  姓名:</b></p><p><b>  學(xué)院:</b></p><p><b>  專業(yè):</b></p><p><b&g

2、t;  班級(jí):</b></p><p><b>  學(xué)號(hào):</b></p><p><b>  目錄</b></p><p><b>  一、實(shí)驗(yàn)?zāi)康?</b></p><p><b>  二、設(shè)計(jì)及原理3</b></p>&

3、lt;p>  2.1 總體方案設(shè)計(jì)3</p><p>  2.1.1 設(shè)計(jì)要求3</p><p>  2.1.2 設(shè)計(jì)思路3</p><p>  2.1.3 總電路框圖..............................................................................................

4、................4</p><p>  2.2 各模塊設(shè)計(jì)方案及原理4</p><p>  2.2.1 搶答電路4</p><p>  2.2.2 倒計(jì)時(shí)電路7</p><p>  2.2.3 報(bào)警電路............................................................

5、......................................................9</p><p><b>  四、電路仿真10</b></p><p>  4.1 倒計(jì)時(shí)電路10</p><p>  4.2 搶答電路11</p><p>  4.3 計(jì)時(shí)鎖定..............

6、............................................................................................................12</p><p>  五、實(shí)驗(yàn)結(jié)果及分析13</p><p><b>  六、心得體會(huì)13</b></p><p><

7、;b>  附錄14</b></p><p>  1元器件清單.14</p><p>  2. 總電路圖15</p><p>  3主要參考文獻(xiàn)16</p><p><b>  一、實(shí)驗(yàn)?zāi)康?lt;/b></p><p>  通過(guò)八路數(shù)字搶答器的設(shè)計(jì)實(shí)驗(yàn),要求學(xué)生回顧所學(xué)數(shù)字電子

8、技術(shù)的基礎(chǔ)理論和基礎(chǔ)實(shí)驗(yàn),掌握組合電路、時(shí)序電路、編程器件和任意集成電路的綜合使用及設(shè)計(jì)方法,熟悉掌握優(yōu)先編碼器、觸發(fā)器、計(jì)數(shù)器、單脈沖觸發(fā)器、555電路、譯碼/驅(qū)動(dòng)電路的應(yīng)用方法,熟悉掌握時(shí)序電路的設(shè)計(jì)方法。達(dá)到數(shù)字實(shí)驗(yàn)課程大綱所要求掌握的基本內(nèi)容。</p><p><b>  二、設(shè)計(jì)及原理</b></p><p>  2.1.1 設(shè)計(jì)要求</p>

9、<p>  1.搶答器同時(shí)供6名選手或6個(gè)代表隊(duì)比賽。</p><p>  2.設(shè)置一個(gè)系統(tǒng)清除和搶答控制 開(kāi)關(guān)S,該開(kāi)關(guān)由主持人控制。</p><p>  3.搶答器具有鎖存與顯示功能。</p><p>  4.搶答器具有定時(shí)搶答功能,且一次搶答的時(shí)間由主持人定。</p><p>  5.參賽選手在設(shè)定的時(shí)間內(nèi)搶答,搶答有效,定時(shí)

10、器停止工作,顯示器上顯示選手的編號(hào)和搶答的時(shí)間,并保持到主持人將系統(tǒng)清除為止。</p><p>  6.如果定時(shí)時(shí)間已到,無(wú)人搶答,本次搶答無(wú)效,系統(tǒng)報(bào)警并禁止搶答,定時(shí)顯示器上顯示00。</p><p>  2.1.2 設(shè)計(jì)思路</p><p>  ①本題的根本任務(wù)是準(zhǔn)確判斷出第一搶答者的信號(hào)并將其鎖存。實(shí)現(xiàn)這一功能可選擇使用觸發(fā)器或鎖存器等。在得到第一信號(hào)之后應(yīng)

11、立即將電路的輸入封鎖,即使其他組的搶答信號(hào)無(wú)效。同時(shí)還必須注意,第一搶答信號(hào)應(yīng)該在主持人發(fā)出搶答命令之后才有效。</p><p> ?、诋?dāng)電路形成第一搶答信號(hào)之后,用編碼、譯碼及數(shù)碼顯示電路顯示出搶答者的組別,也可以用發(fā)光二極管直接指示出組別。</p><p> ?、墼谥鞒秩藳](méi)有按下開(kāi)始搶答按鈕前,參賽者的搶答開(kāi)關(guān)無(wú)效;當(dāng)主持人按下開(kāi)始搶答按鈕后,開(kāi)始進(jìn)行30秒倒計(jì)時(shí),此時(shí),若有組別搶,顯

12、示該組別并使搶答指示燈亮表示“已有人搶答”;當(dāng)計(jì)時(shí)時(shí)間到,仍無(wú)組別搶答,則計(jì)時(shí)指示燈滅表示“時(shí)間已到”,主持人清零后開(kāi)始新一輪搶答</p><p>  2.1.3 總電路框圖</p><p>  圖 2-1總電路框圖</p><p>  2.2 各模塊設(shè)計(jì)方案及原理</p><p>  2.2.1 搶答電路</p><p&

13、gt;  此部分電路主要完成的功能是實(shí)現(xiàn)6路選手搶答并進(jìn)行鎖存,同時(shí)有相應(yīng)發(fā)光二極管點(diǎn)亮和數(shù)碼顯示。</p><p>  使用優(yōu)先編碼器 74LS48 和鎖存器 74LS279 來(lái)完成。該電路主要完成兩個(gè)功能:一是分辨出選手按鍵的先后,并鎖存優(yōu)先搶答者的編號(hào),同時(shí)譯碼顯示電路顯示編號(hào)(顯示電路采用七段數(shù)字?jǐn)?shù)碼顯示管);二是禁止其他選手按鍵,其按鍵操作無(wú)效。工作過(guò)程:開(kāi)關(guān)S 置于"清除"端時(shí),R

14、S 觸發(fā)器的 R、S 端均為0,4 個(gè)觸發(fā)器輸出置0,使74LS279 的優(yōu)先編碼工作標(biāo)志端= 0,使之處于工作狀態(tài)。當(dāng)開(kāi)關(guān)S 置于"開(kāi)始"時(shí),搶答器處于等待工作狀態(tài),當(dāng)有選手將搶答按鍵按下時(shí)(如按下S5),74LS279 的輸出經(jīng)RS 鎖存后,CTR=1,RBO =1, 七段顯示電路74LS279 處于工作狀態(tài),4Q3Q2Q=101,經(jīng)譯碼顯示為“5”。此外,CTR =</p><p>  

15、1,使74LS279 優(yōu)先編碼工作標(biāo)志端=1,處于禁止?fàn)顟B(tài),封鎖其他按鍵的輸入。 </p><p>  當(dāng)按鍵松開(kāi)即按下時(shí),74LS279 的 此時(shí)由于仍為CTR=1,使優(yōu)先編碼工作標(biāo)志端=1, 5 所以74LS279 仍處于禁止?fàn)顟B(tài),確保不會(huì)出二次按鍵時(shí)輸入信號(hào),保證了搶答者的優(yōu)先性。只要有一組選手先按下?lián)尨鹌鳎蜁?huì)將編碼器鎖死,不再對(duì)其他組進(jìn)行編碼。通過(guò)74LS48譯碼器使搶答組別數(shù)字顯示0-7。如有再次搶

16、答需由主持人將S 開(kāi)關(guān)重新置“清除”然后再進(jìn)行下一輪搶答。</p><p><b>  原理圖如下:</b></p><p>  圖 2-2 搶答模塊原理圖</p><p>  表2-3 74LS279優(yōu)先編碼器功能表</p><p>  二進(jìn)制譯碼器是將輸入的二進(jìn)制代碼的各種狀態(tài)按特定含義翻譯成對(duì)應(yīng)輸出信號(hào)的電路。也稱

17、為變量譯碼器。若輸入端有n位,代碼組合就有2n個(gè),當(dāng)然可譯出2n個(gè)輸出信號(hào)。</p><p>  顯示譯碼器由譯碼輸出和顯示器配合使用,最常用的是BCD七段譯碼器。其輸出是驅(qū)動(dòng)七段字形的七個(gè)信號(hào),常見(jiàn)產(chǎn)品型號(hào)有74LS48、74LS47等。</p><p>  字符顯示器:分段式顯示是將字符由分布在同一平面上的若干段發(fā)光筆劃組成。電子計(jì)算器,數(shù)字萬(wàn)用表等顯示器都是顯示分段式數(shù)字。而LED數(shù)

18、碼顯示器是最常見(jiàn)的。通常有紅、綠、黃等顏色。LED的死區(qū)電壓較高,工作電壓大約1.5~3V,驅(qū)動(dòng)電流為幾十毫安。圖1-3是七段LED數(shù)碼管的引線圖和顯示數(shù)字情況。74LS47譯碼驅(qū)動(dòng)器輸出是低電平有效,所以配接的數(shù)碼管須采用共陽(yáng)極接法;而74LS48譯碼驅(qū)動(dòng)器輸出是高電平有效,所以,配接的數(shù)碼管須采用共陰極接法。數(shù)碼管常用型號(hào)有BS201、BS202等。</p><p>  2.2.2倒計(jì)時(shí)電路</p>

19、;<p>  圖 2-5 倒計(jì)時(shí)模塊原理圖</p><p>  該部分主要由555 定時(shí)器秒脈沖產(chǎn)生電路、十進(jìn)制同步加減計(jì)數(shù)器74LS192 減法計(jì)數(shù)電路、74LS48 譯碼電路和 2 個(gè) 8段數(shù)碼管即相關(guān)電路組成。完成的功能是當(dāng)主持人按下開(kāi)始搶答按鈕后,進(jìn)行30s倒計(jì)時(shí),到0s時(shí)倒計(jì)時(shí)指示燈亮。當(dāng)有人搶答時(shí),計(jì)時(shí)停止。兩塊74LS192 實(shí)現(xiàn)減法計(jì)數(shù),通過(guò)譯碼電路 74LS48 顯示到數(shù)碼管上,其

20、時(shí)鐘信號(hào)由時(shí)鐘產(chǎn)生電路提供。74LS192 的預(yù)置數(shù)控制端實(shí)現(xiàn)預(yù)置數(shù)時(shí)間,計(jì)數(shù)器的時(shí)鐘脈沖由秒脈沖電路提供。按鍵彈起后,計(jì)數(shù)器開(kāi)始減法計(jì)數(shù)工作,并將時(shí)間顯示在共陰極七段數(shù)碼顯示管上,當(dāng)有人搶答時(shí),停止計(jì)數(shù)并顯示此時(shí)的倒計(jì)時(shí)時(shí)間;如果沒(méi)有人搶答,且倒計(jì)時(shí)時(shí)間到時(shí), 輸出低電平到時(shí)序控制電路,控制報(bào)警電路報(bào)警,同時(shí)以后選手搶答無(wú)效。</p><p>  74LS48的7,6,2,3引腳接受來(lái)自74LS192的輸出信號(hào)

21、并把它譯碼 顯示在數(shù)碼管上。74LS192的9,10,11,15引腳完成時(shí)間設(shè)定功能,本設(shè)計(jì)要求定時(shí)30秒,所以把左邊的芯片的1,15引腳接高電位,期于的全接低位,使的初始時(shí)間設(shè)定為30秒。555芯片完成產(chǎn)生秒脈沖的功能。工作過(guò)程為:搶答開(kāi)始前,74LS192的置數(shù)端為低電位,處于初始狀態(tài),數(shù)碼管顯示為30,5引腳接高電位。搶答開(kāi)始后,秒脈沖沖推動(dòng)右邊的芯片開(kāi)始倒記時(shí),同時(shí)右邊芯片產(chǎn)生的信號(hào)做為左邊芯片的CP信號(hào)推動(dòng)左邊的芯片倒記時(shí),完

22、成十進(jìn)制的倒記時(shí)功能。當(dāng)有人搶答后1Q的輸出為1,經(jīng)過(guò)非門后變?yōu)?,通過(guò)與門屏蔽了秒信號(hào),停止記時(shí),完成顯示搶答時(shí)間的功能。當(dāng)記到了30秒時(shí),左邊的芯片產(chǎn)生的定時(shí)到信號(hào)輸出為低電位,也屏蔽了秒信號(hào),使得數(shù)碼管顯示為00。</p><p>  二進(jìn)制譯碼器是將輸入的二進(jìn)制代碼的各種狀態(tài)按特定含義翻譯成對(duì)應(yīng)輸出信號(hào)的電路。也稱為變量譯碼器。若輸入端有n位,代碼組合就有2n個(gè),當(dāng)然可譯出2n個(gè)輸出信號(hào)。</p&g

23、t;<p>  顯示譯碼器由譯碼輸出和顯示器配合使用,最常用的是BCD七段譯碼器。其輸出是驅(qū)動(dòng)七段字形的七個(gè)信號(hào),常見(jiàn)產(chǎn)品型號(hào)有74LS48、74LS47等。</p><p>  字符顯示器:分段式顯示是將字符由分布在同一平面上的若干段發(fā)光筆劃組成。電子計(jì)算器,數(shù)字萬(wàn)用表等顯示器都是顯示分段式數(shù)字。而LED數(shù)碼顯示器是最常見(jiàn)的。通常有紅、綠、黃等顏色。LED的死區(qū)電壓較高,工作電壓大約1.5~3V,

24、驅(qū)動(dòng)電流為幾十毫安。圖1-3是七段LED數(shù)碼管的引線圖和顯示數(shù)字情況。74LS47譯碼驅(qū)動(dòng)器輸出是低電平有效,所以配接的數(shù)碼管須采用共陽(yáng)極接法;而74LS48譯碼驅(qū)動(dòng)器輸出是高電平有效,所以,配接的數(shù)碼管須采用共陰極接法。數(shù)碼管常用型號(hào)有BS201、BS202等。圖1-4(a)是共陰式LED數(shù)碼管的原理圖,使用時(shí),公陰極接地,7個(gè)陽(yáng)極a~g由相應(yīng)的BCD七段譯碼器來(lái)驅(qū)動(dòng)。 </p><p><b>  

25、3.2.3報(bào)警電路</b></p><p><b>  圖2-6 報(bào)警電路</b></p><p>  報(bào)警電路由74LS74D來(lái)將信號(hào)轉(zhuǎn)化為報(bào)警器的聲音。</p><p><b>  三、電路仿真及分析</b></p><p>  接通電源,主持人調(diào)節(jié)好開(kāi)關(guān),倒計(jì)時(shí)開(kāi)關(guān)打到20秒端,倒

26、計(jì)時(shí)數(shù)碼管顯示20。主持人撥動(dòng)開(kāi)關(guān)后,倒計(jì)時(shí)電路開(kāi)始從20秒倒數(shù),6路觸點(diǎn)開(kāi)關(guān)處于待命狀態(tài)。</p><p>  圖 3-1 倒計(jì)時(shí)狀態(tài)</p><p>  若有選手按下所在開(kāi)關(guān)(搶答),搶答電路顯示選手所在編碼(A~F),倒計(jì)時(shí)電路停止計(jì)時(shí)。同時(shí)其他選手所在的開(kāi)關(guān)被鎖定,搶答無(wú)效。</p><p>  圖 3-2 搶答電路仿真</p><p

27、>  如果30秒倒計(jì)時(shí)結(jié)束后仍無(wú)人搶答,倒計(jì)時(shí)電路鎖定為00,搶答不再有效。</p><p>  這時(shí)只需主持人重新將開(kāi)關(guān)打到預(yù)置段,整個(gè)電路全部回到初態(tài)。準(zhǔn)備進(jìn)行下一輪搶答.</p><p>  圖 3-3 計(jì)時(shí)鎖定</p><p><b>  五.實(shí)驗(yàn)結(jié)果分析</b></p><p> ?、賹?shí)驗(yàn)?zāi)軠?zhǔn)確判斷出第一

28、搶答者的信號(hào)并將其鎖存。實(shí)現(xiàn)這一功能可選擇使用觸發(fā)器或鎖存器等。在得到第一信號(hào)之后應(yīng)立即將電路的輸入封鎖,即使其他組的搶答信號(hào)無(wú)效。同時(shí)還必須注意,第一搶答信號(hào)應(yīng)該在主持人發(fā)出搶答命令之后才有效。</p><p> ?、诋?dāng)電路形成第一搶答信號(hào)之后,用編碼、譯碼及數(shù)碼顯示電路顯示出搶答者的組別,也可以用發(fā)光二極管直接指示出組別。</p><p> ?、墼谥鞒秩藳](méi)有按下開(kāi)始搶答按鈕前,參賽者的

29、搶答開(kāi)關(guān)無(wú)效;當(dāng)主持人按下開(kāi)始搶答按鈕后,開(kāi)始進(jìn)行30秒倒計(jì)時(shí),此時(shí),若有組別搶,顯示該組別并使搶答指示燈亮表示“已有人搶答”;當(dāng)計(jì)時(shí)時(shí)間到,仍無(wú)組別搶答,則計(jì)時(shí)指示燈滅表示“時(shí)間已到”,主持人清零后開(kāi)始新一輪搶答。、</p><p><b>  六.心得體會(huì)</b></p><p>  課程設(shè)計(jì)是培養(yǎng)學(xué)生綜合運(yùn)用所學(xué)知識(shí),發(fā)現(xiàn)提出,分析和解決實(shí)際問(wèn)題,鍛煉實(shí)踐能力的

30、重要環(huán)節(jié),是對(duì)學(xué)生實(shí)際工作能力的具體訓(xùn)練和考察過(guò)程,從理論到實(shí)踐的過(guò)程中,學(xué)到了很多很多的東西,同時(shí)不僅可以鞏固了以前所學(xué)過(guò)的知識(shí),而且學(xué)到了很多在書上所沒(méi)有的知識(shí)。更是通過(guò)設(shè)計(jì)熟練掌握了multisim和protel99se的使用。通過(guò)這次課程設(shè)計(jì)使我懂得了理論與實(shí)際相結(jié)合還是很重要的,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的知識(shí)與實(shí)踐結(jié)合起來(lái),從理論中得出結(jié)論,從而提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考能力。</p><

31、p>  在整個(gè)電路的設(shè)計(jì)過(guò)程中,花費(fèi)時(shí)間最多的是各個(gè)單元電路的連接及電路的細(xì)節(jié)設(shè)計(jì)上,在多種方案的選擇中,我們仔細(xì)比較分析其原理以及可行的原因,最后還是在通多次對(duì)電路的改進(jìn),上機(jī)仿真,終于使整個(gè)電路可穩(wěn)定工作。設(shè)計(jì)過(guò)程中,我深刻的體會(huì)到在設(shè)計(jì)過(guò)程中,需要反復(fù)實(shí)踐,其過(guò)程很可能相當(dāng)煩瑣,</p><p>  有時(shí)花很長(zhǎng)時(shí)間設(shè)計(jì)出來(lái)的電路還是需要重做,那時(shí)心中未免有點(diǎn)灰心,有時(shí)還特別想放棄,此時(shí)更加需要靜下心,

32、查找原因。設(shè)計(jì)思路是最重要的,只要設(shè)計(jì)思路是成功的,那設(shè)計(jì)已經(jīng)成功了一半。因此我們應(yīng)該在設(shè)計(jì)前做好充分的準(zhǔn)備,像查找詳細(xì)的資料,為我們?cè)O(shè)計(jì)的成功打下堅(jiān)實(shí)的基礎(chǔ)。</p><p>  設(shè)計(jì)單元電路階段,這個(gè)階段可以說(shuō)是考察數(shù)電書本知識(shí)的階段。所有的設(shè)計(jì)方法還有步驟在數(shù)電書上都有,而且還有例題。這個(gè)階段遇到的主要問(wèn)題就是以前的知識(shí)忘記不少,所以做設(shè)計(jì)的時(shí)候要常隨手翻閱課本,等于是做了幾道數(shù)電作業(yè)題。這個(gè)階段的難度也不

33、是很大,一般翻課本就可以找到答案并解決問(wèn)題。</p><p>  通過(guò)這次的課程設(shè)計(jì),知識(shí)上不但有了重要的收獲,精神上的豐收更加可喜。明白了學(xué)無(wú)止境的道理,當(dāng)然最后的成功是自己很有成就感。</p><p><b>  附錄</b></p><p><b>  3.2元器件清單</b></p><p>

34、;<b>  總電路圖</b></p><p><b>  4-1總電路圖</b></p><p><b>  主要參考文獻(xiàn)</b></p><p>  《數(shù)字電子技術(shù)》(第三版)</p><p><b>  《電路CAD講義》</b></p>

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論