2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩12頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p>  畢 業(yè) 設(shè) 計(jì) </p><p><b>  出租車計(jì)費(fèi)器</b></p><p><b>  姓 名: </b></p><p><b>  學(xué) 院: </b></p><p><b>  專業(yè)班級(jí): </b

2、></p><p><b>  學(xué) 號(hào) </b></p><p>  完成時(shí)間: 2011.11 </p><p><b>  摘 要</b></p><p>  隨著出租車行業(yè)的發(fā)展,對(duì)出租車計(jì)費(fèi)器的要求也越來(lái)越高。該系統(tǒng)主要是使用Multisim10進(jìn)行設(shè)計(jì),運(yùn)用計(jì)數(shù)器、加法器等進(jìn)行

3、電路設(shè)計(jì)與仿真。論文內(nèi)容介紹了出租車計(jì)費(fèi)器系統(tǒng)的組成及工作原理,簡(jiǎn)述了用數(shù)字電子器件構(gòu)成該數(shù)字系統(tǒng)的設(shè)計(jì)思想和實(shí)現(xiàn)過(guò)程。</p><p>  關(guān)鍵詞: Multisim10 計(jì)數(shù)器 出租車計(jì)費(fèi)器 </p><p><b>  目錄</b></p><p><b>  Cotent</b></p><

4、;p>  第1章 設(shè)計(jì)指標(biāo)3</p><p>  1.1設(shè)計(jì)目的和意義3</p><p><b>  1.1.1目的3</b></p><p><b>  1.1.2意義3</b></p><p>  第2章 總體框圖3</p><p><b> 

5、 2.1總體框圖3</b></p><p>  第3章 各單元電路設(shè)計(jì)4</p><p>  3.1里程計(jì)費(fèi)電路設(shè)計(jì)4</p><p>  3.2等候時(shí)間計(jì)費(fèi)電路5</p><p>  3.3計(jì)數(shù)、鎖存、顯示電路5</p><p>  3.4 時(shí)鐘電路7</p><p>

6、;  3.5置位電路和脈沖產(chǎn)生電路的設(shè)計(jì)7</p><p>  第4章 器件選擇及部分功能簡(jiǎn)介9</p><p><b>  4.1器件選擇9</b></p><p>  4.2部分功能簡(jiǎn)介9</p><p>  4.2.1 555定時(shí)器9</p><p>  4.2.2 74LS1

7、6011</p><p>  第5章 總結(jié)11</p><p><b>  5.1結(jié)論11</b></p><p>  5.2優(yōu)點(diǎn)與不足12</p><p>  5.3 心得與體會(huì)12</p><p>  第6章 參考文獻(xiàn)12</p><p><b&g

8、t;  第1章 設(shè)計(jì)指標(biāo)</b></p><p>  1.1設(shè)計(jì)目的和意義</p><p><b>  1.1.1目的</b></p><p>  1. 自動(dòng)計(jì)費(fèi)器具有行車?yán)锍逃?jì)費(fèi)、等候時(shí)間計(jì)費(fèi)和起步費(fèi)三部分,三項(xiàng)計(jì)費(fèi)統(tǒng)一用4位數(shù)碼管顯示,最大金額為99.99元。</p><p>  2. 行車?yán)锍虇蝺r(jià)設(shè)為1

9、.80元/km,等候時(shí)間計(jì)費(fèi)設(shè)為1.5元/10分鐘,起步費(fèi)設(shè)為8.00元。要求行車時(shí),計(jì)費(fèi)值每公里刷新一次;等候時(shí)每10分鐘刷新一次;行車不到1km或等候不足10分鐘則忽略計(jì)費(fèi)。</p><p>  3. 在啟動(dòng)和停車時(shí)給出聲音提示。</p><p><b>  1.1.2意義</b></p><p>  在我們是生活中,乘坐出租車是再常見(jiàn)不過(guò)

10、的了,因此人們對(duì)出租車計(jì)費(fèi)問(wèn)題也是非常的敏感的。隨著出租車行業(yè)的發(fā)展,對(duì)出租車計(jì)費(fèi)器的要求也越來(lái)越高,用戶不僅要求計(jì)費(fèi)器性能穩(wěn)定,計(jì)費(fèi)準(zhǔn)確,有防作弊功能;同時(shí)還要求其具有車票資料打印 、IC卡付費(fèi)、語(yǔ)音報(bào)話、和電腦串行通信等功能。因此出租車計(jì)費(fèi)器在我們的生活中也是很重要的。</p><p><b>  第2章 總體框圖</b></p><p><b>  

11、2.1總體框圖</b></p><p>  圖1 出租車計(jì)費(fèi)器原理框圖</p><p>  分別將行車?yán)锍?、等候時(shí)間都按相同的比價(jià)轉(zhuǎn)換成脈沖信號(hào),然后對(duì)這些脈沖進(jìn)行計(jì)數(shù),而起價(jià)可以通過(guò)預(yù)置送入計(jì)數(shù)器作為初值,如圖1的原理框圖所示。行車?yán)锍逃?jì)數(shù)電路每行車1km輸出一個(gè)脈沖信號(hào),啟動(dòng)行車單價(jià)計(jì)數(shù)器輸出與單價(jià)對(duì)應(yīng)的脈沖數(shù),例如單價(jià)是1.80元/km,則設(shè)計(jì)一個(gè)一百八十進(jìn)制計(jì)數(shù)器,每公

12、里輸出180個(gè)脈沖到總費(fèi)計(jì)數(shù)器,即每個(gè)脈沖為0.01元。等候時(shí)間計(jì)數(shù)器將來(lái)自時(shí)鐘電路的秒脈沖作六百進(jìn)制計(jì)數(shù),得到10分鐘信號(hào),用10分鐘信號(hào)控制一個(gè)一百五十進(jìn)制計(jì)數(shù)器(等候10分鐘單價(jià)計(jì)數(shù)器)向總費(fèi)計(jì)數(shù)器輸入150個(gè)脈沖。這樣,總費(fèi)計(jì)數(shù)器根據(jù)起步價(jià)所置的初值,加上里程脈沖、等候時(shí)間脈沖即可得到總的用車費(fèi)用。</p><p>  上述方案中,如果將里程單價(jià)計(jì)數(shù)器和10分鐘等候單價(jià)計(jì)數(shù)器用比例乘法器完成,則可以得到較

13、簡(jiǎn)練的電路。它將里程脈沖乘以單價(jià)比例系數(shù)得到代表里程費(fèi)用的脈沖信號(hào),等候時(shí)間脈沖乘以單位時(shí)間的比例系數(shù)得到代表等候時(shí)間的時(shí)間費(fèi)用脈沖,然后將這兩部分脈沖求和。</p><p>  第3章 各單元電路設(shè)計(jì)</p><p>  3.1里程計(jì)費(fèi)電路設(shè)計(jì)</p><p><b>  圖2 里程計(jì)費(fèi)電路</b></p><p>

14、  里程計(jì)費(fèi)電路如圖2所示。安裝在與汽車輪相接的渦輪變速器上的磁鐵使干簧繼電器在汽車每前進(jìn)10m閉合一次,即輸出一個(gè)脈沖信號(hào)。汽車每前進(jìn)1km則輸出100個(gè)脈沖。此時(shí),計(jì)費(fèi)器應(yīng)累加1km的計(jì)費(fèi)單價(jià),本電路設(shè)為1.80元。在圖3中,干簧繼電器產(chǎn)生的脈沖信號(hào)經(jīng)施密特觸發(fā)器整形得到CP0。CP0送入由兩片74HC161構(gòu)成的一百進(jìn)制計(jì)數(shù)器,當(dāng)計(jì)數(shù)器計(jì)滿100個(gè)脈沖時(shí),一方面使計(jì)數(shù)器清0,另一方面將基本RS觸發(fā)器的Q1置為1,使74HC161(

15、3)和(4)組成的一百八十進(jìn)制計(jì)數(shù)器開始對(duì)標(biāo)準(zhǔn)脈沖CP1計(jì)數(shù),計(jì)滿180個(gè)脈沖后,使計(jì)數(shù)器清0。RS觸發(fā)器復(fù)位為0,計(jì)數(shù)器停止計(jì)數(shù)。在一百八十進(jìn)制計(jì)數(shù)器計(jì)數(shù)期間,由于Q1=1,則P2=/CP1,使P2端輸出180個(gè)脈沖信號(hào),代表每公里行車的里程計(jì)費(fèi),即每個(gè)脈沖的計(jì)費(fèi)是0.01元,稱為脈沖當(dāng)量。</p><p>  3.2等候時(shí)間計(jì)費(fèi)電路</p><p>  圖3 等候時(shí)間計(jì)費(fèi)電路</

16、p><p>  等候時(shí)間計(jì)費(fèi)電路如圖3所示,由74HC161(1)、(2)、(3)構(gòu)成的六百進(jìn)制計(jì)數(shù)器對(duì)秒脈沖CP2作計(jì)數(shù),當(dāng)計(jì)滿一個(gè)循環(huán)時(shí)也就是等候時(shí)間滿10分鐘。一方面對(duì)六百進(jìn)制計(jì)數(shù)器清0,另一方面將基本RS觸發(fā)器置為1,啟動(dòng)74HC161(4)和(5)構(gòu)成的一百五十進(jìn)制計(jì)數(shù)器(10分鐘等候單價(jià))開始計(jì)數(shù),計(jì)數(shù)期間同時(shí)將脈沖從P1輸出。在計(jì)數(shù)器計(jì)滿10分鐘等候單價(jià)時(shí)將RS觸發(fā)器復(fù)位為0,停止計(jì)數(shù)。從P1輸出的脈沖

17、數(shù)就是每等候10分鐘輸出150個(gè)脈沖,表示單價(jià)為1.50元,即脈沖當(dāng)量為0.01元,等候計(jì)時(shí)的起始信號(hào)由接在74HC161(1)的手動(dòng)開關(guān)給定。</p><p>  3.3計(jì)數(shù)、鎖存、顯示電路</p><p>  如圖4所示,其中計(jì)數(shù)器由4位BCD碼計(jì)數(shù)器74LS160構(gòu)成,對(duì)來(lái)自里程計(jì)費(fèi)電路的脈沖P2和來(lái)自等候時(shí)間的計(jì)費(fèi)脈沖P1進(jìn)行十進(jìn)制計(jì)數(shù)。計(jì)數(shù)器所得到的狀態(tài)值送入由2片8位鎖存器74

18、LS273構(gòu)成的鎖存電路鎖存,然后由七段譯碼器74LS48譯碼后送到共陰數(shù)碼管顯示。</p><p>  圖4 計(jì)數(shù)、鎖存、顯示電路</p><p>  計(jì)數(shù)、譯碼、顯示電路為使顯示數(shù)碼不閃爍,需要保證計(jì)數(shù)、鎖存和計(jì)數(shù)器清零信號(hào)之間正確的時(shí)序關(guān)系,如圖4所示。由圖4的時(shí)序結(jié)合圖5的電路可見(jiàn),在Q2或Q1為高電平1期間,計(jì)數(shù)器對(duì)里程脈沖P2或等候時(shí)間脈沖P1進(jìn)行計(jì)數(shù),當(dāng)計(jì)數(shù)完1km脈沖(或等

19、候10分鐘脈沖)則計(jì)數(shù)結(jié)束?,F(xiàn)在應(yīng)將計(jì)數(shù)器的數(shù)據(jù)鎖存到74LS273中以便進(jìn)行譯碼顯示,鎖存信號(hào)由74LS123(1)構(gòu)成的單穩(wěn)態(tài)電路實(shí)現(xiàn),當(dāng)Q1或Q2由1變0時(shí)啟動(dòng)單穩(wěn)電路延時(shí)而產(chǎn)生一個(gè)正脈沖,這個(gè)正脈沖的持續(xù)時(shí)間保證數(shù)據(jù)鎖存可靠。鎖存到74LS273中的數(shù)據(jù)由74LS48譯碼后,在顯示器中顯示出來(lái)。只有在數(shù)據(jù)可靠鎖存后才能清除計(jì)數(shù)器中的數(shù)據(jù)。因此,電路中用74LS123(2)設(shè)置了第二級(jí)單穩(wěn)電路,該單穩(wěn)電路用第一級(jí)單穩(wěn)輸出脈沖的下跳

20、沿啟動(dòng),經(jīng)延時(shí)后第二級(jí)單穩(wěn)的輸出產(chǎn)生計(jì)數(shù)器的清零信號(hào)。這樣就保證了“計(jì)數(shù)—鎖存—清零”的先后順序,保證計(jì)數(shù)和顯示的穩(wěn)定可靠。</p><p>  圖5 計(jì)數(shù)、鎖存清零信號(hào)的時(shí)序圖</p><p>  圖中的S2為上電開關(guān),能實(shí)現(xiàn)上電時(shí)自動(dòng)置入起步價(jià)目,S3可實(shí)現(xiàn)手動(dòng)清零,使計(jì)費(fèi)顯示為00.00。其中,小數(shù)點(diǎn)為固定位置。</p><p><b>  3.4

21、 時(shí)鐘電路</b></p><p>  時(shí)鐘電路提供等候時(shí)間計(jì)費(fèi)的計(jì)時(shí)基準(zhǔn)信號(hào),同時(shí)作為里程計(jì)費(fèi)和等候時(shí)間計(jì)費(fèi)的單價(jià)脈沖源,電路如圖6所示。</p><p><b>  圖6 時(shí)鐘電路</b></p><p>  在圖6中,555定時(shí)器產(chǎn)生1kHZ的矩形波信號(hào),經(jīng)74LS90組成的3級(jí)十分頻后,得到1Hz的脈沖信號(hào),可作為計(jì)時(shí)的基準(zhǔn)

22、信號(hào)。同時(shí),可選擇經(jīng)分頻得到的500Hz脈沖作為CP1的計(jì)數(shù)脈沖。也可采用頻率穩(wěn)定度更高的石英晶體振蕩器。</p><p>  3.5置位電路和脈沖產(chǎn)生電路的設(shè)計(jì)</p><p>  在數(shù)字電路的設(shè)計(jì)中,常常還需要產(chǎn)生置位、復(fù)位的信號(hào),如SD、RD。這類信號(hào)分高電平有效、低電平有效兩種。由于實(shí)際電路在接通電源瞬間的狀態(tài)往往是隨機(jī)的,需要通過(guò)電路自動(dòng)產(chǎn)生置位、復(fù)位電平使之進(jìn)入預(yù)定的初始狀態(tài),

23、如前面設(shè)計(jì)中的圖4,其中S2就是通過(guò)上電實(shí)現(xiàn)計(jì)數(shù)器的數(shù)據(jù)預(yù)置。圖7表示了幾種上電自動(dòng)置位、復(fù)位或置數(shù)的電路。</p><p>  圖7 開機(jī)置位、復(fù)位和置數(shù)命令產(chǎn)生電路</p><p>  在圖(a)中,當(dāng)S接通電源時(shí),由于電容C兩端電壓不能突變?nèi)詾榱?,使RD為0,產(chǎn)生Q置0的信號(hào)。此后,C被充電使C兩端的電壓上升到RD為1時(shí),D觸發(fā)器進(jìn)入計(jì)數(shù)狀態(tài)。圖(b)則由于非門對(duì)開關(guān)產(chǎn)生的信號(hào)進(jìn)行了

24、整形而得到更好的負(fù)跳變波形。圖(c)和圖(d)中的CC4013是CMOS雙D觸發(fā)器,這類電路置位和復(fù)位信號(hào)是高電平有效,由于開關(guān)閉合時(shí)電容可視為短路而產(chǎn)生高電平,使RD=1,Q=0;若將此信號(hào)加到SD,則SD=1,Q=1;置位、復(fù)位過(guò)后,電容充電而使RD(SD)變?yōu)?,電路可進(jìn)入計(jì)數(shù)狀態(tài)。</p><p>  圖(e)是用開關(guān)電路產(chǎn)生點(diǎn)動(dòng)脈沖,每按一次開關(guān)產(chǎn)生一個(gè)正脈沖,使觸發(fā)器構(gòu)成的計(jì)數(shù)器計(jì)數(shù)1次;圖(f)是用

25、開關(guān)電路產(chǎn)生負(fù)脈沖,每按一次開關(guān)產(chǎn)生一個(gè)負(fù)脈沖</p><p>  第4章 器件選擇及部分功能簡(jiǎn)介</p><p><b>  4.1器件選擇</b></p><p><b>  4.2部分功能簡(jiǎn)介</b></p><p>  4.2.1 555定時(shí)器</p><p> 

26、 555 芯片是定時(shí)器,,是一種將數(shù)字功能和模擬功能集為一體的中規(guī)模集成電路。它的結(jié)構(gòu)比較簡(jiǎn)單,使用卻非常靈活,也很方便,可以用它構(gòu)成多諧波振蕩器、施密特觸發(fā)器和施密特觸發(fā)器等。用555定時(shí)器構(gòu)成的各種電路,都是通過(guò)定時(shí)控制,實(shí)現(xiàn)信號(hào)的產(chǎn)生與變換,從而完成其他控制功能,555定時(shí)器可以由TTL電路或CMOS電路構(gòu)成。它是一種能產(chǎn)生時(shí)間延遲和多種脈沖信號(hào)的控制電路。只要在外部配上幾個(gè)適當(dāng)?shù)碾娮柙?,就可以?gòu)成單穩(wěn)態(tài)觸發(fā)器,多諧振蕩器以及施

27、密特觸發(fā)器等脈沖產(chǎn)生與整形電路,在工業(yè)自動(dòng)控制,定功能時(shí),仿聲和防盜報(bào)警等方面有廣泛的應(yīng)用。</p><p>  圖8 555定時(shí)器的內(nèi)部原理圖及邏輯符號(hào)</p><p>  表1 555定時(shí)器功能表</p><p>  4.2.2 74LS160</p><p>  74LS160為十進(jìn)制計(jì)數(shù)器,直接清零。  74LS160的預(yù)

28、置是同步的。當(dāng)置入控制器/PE為低電平時(shí),在CP上升沿作用下,輸出端Q0-Q3與數(shù)據(jù)輸入端P0-P3一致。對(duì)于54/74160,當(dāng)CP由低至高跳變或跳變前,如果計(jì)數(shù)器控制端CEP、CET為高電平,則/PE應(yīng)避免由低至高電平的跳變,而54/74LS160無(wú)此種限制。 74LS160的計(jì)數(shù)是同步的,靠CP同時(shí)加在四個(gè)觸發(fā)器上而實(shí)現(xiàn)的。 當(dāng)CEP、CET均為高電平時(shí),在CP上升沿作用下Q0-Q3同時(shí)變化,從而消除了異步計(jì)數(shù)器中出現(xiàn)的計(jì)數(shù)尖峰

29、。對(duì)于54/74LS160的CEP、CET跳變與CP無(wú)關(guān)。 74LS160有超前進(jìn)位功能。當(dāng)計(jì)數(shù)溢出時(shí),進(jìn)位輸出端(TC)輸出一個(gè)高電平脈沖,其寬度為Q0的高電平部分。 在不外加門電路的情況下,可級(jí)聯(lián)成N位同步計(jì)數(shù)器。 對(duì)于54/74LS160,在CP出現(xiàn)前,即使CEP、CET、/MR發(fā)生變化,電路的功能也不受影響。 </p><p>  圖9 74LS160內(nèi)部電路圖</p><p>

30、<b>  第5章 總結(jié)</b></p><p><b>  5.1結(jié)論</b></p><p>  1.設(shè)計(jì)原理明了,設(shè)計(jì)步驟清晰,設(shè)計(jì)電路基本達(dá)到設(shè)計(jì)的要求;</p><p>  2.這次的畢業(yè)設(shè)計(jì)使我對(duì)所學(xué)的數(shù)電知識(shí)起到了加深和鞏固的作用,也使我有的了搭建和調(diào)試實(shí)驗(yàn)電路的能力;</p><p&g

31、t;  3.鍛煉了我主動(dòng)學(xué)習(xí)的能力、與他人合作的能力,及從各種渠道獲得一定的資料共同加以學(xué)習(xí)研究,提高了個(gè)人的綜合動(dòng)手能力。</p><p>  4.使我對(duì)Multisim10有了更深入的了解。</p><p><b>  5.2優(yōu)點(diǎn)與不足</b></p><p>  優(yōu)點(diǎn):該計(jì)費(fèi)器實(shí)現(xiàn)了預(yù)置參數(shù)自動(dòng)計(jì)費(fèi)、自動(dòng)計(jì)程。 </p>&

32、lt;p>  不足:一些細(xì)節(jié)等還沒(méi)有十分透徹的了解,電路圖的畫法與仿真還沒(méi)有熟練掌握,致使所繪制的電路圖不甚美觀。</p><p><b>  5.3 心得與體會(huì)</b></p><p>  此次的畢業(yè)設(shè)計(jì),讓我懂得了實(shí)踐的重要性。我們需要真正做到學(xué)以致用,在實(shí)踐中不斷的發(fā)現(xiàn)和改正問(wèn)題。此外,在為期一個(gè)多月的畢業(yè)設(shè)計(jì)我認(rèn)真學(xué)習(xí)了相關(guān)的知識(shí),極大地拓寬了我的知識(shí)面

33、,我感到收獲不小。從開始熟悉這些知識(shí)到對(duì)整體設(shè)計(jì)的了解,再?gòu)母乓O(shè)計(jì)、詳細(xì)設(shè)計(jì)到開始使用軟件,以及最后的調(diào)試,整個(gè)過(guò)程感覺(jué)很充實(shí)。雖然遇到了不少困難,但當(dāng)我通過(guò)自己查資料,與同學(xué)互討論,而設(shè)計(jì)出解決方案并成功實(shí)現(xiàn)時(shí),那種成就感和滿足感足以忘卻所有的辛苦。但是由于自己知識(shí)的不足,所以該設(shè)計(jì)還有許多不盡如人意的地方,可能在實(shí)際應(yīng)用中有些功能不到位。此外,課程設(shè)計(jì)還讓我明白很多做人做事的道理,做事情要有耐心和毅力,關(guān)鍵時(shí)刻要能靜下心,只有這樣

34、才能更好的接受和克服困難,更早的達(dá)到成功的道路。</p><p><b>  第6章 參考文獻(xiàn)</b></p><p>  [1]艾永樂(lè) 《數(shù)字電子技術(shù)基礎(chǔ)》,中國(guó)電力出版社.</p><p>  [2]康華光《電子技術(shù)基礎(chǔ)》(第四版),高等教育出版社.</p><p>  [3]朱兆優(yōu)等《電子電路設(shè)計(jì)技術(shù)》,國(guó)防工業(yè)

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論