2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩21頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、<p><b>  課程設(shè)計任務(wù)書</b></p><p>  題目:_拔河游戲控制電路___</p><p>  主要內(nèi)容、基本要求、主要參考資料等。</p><p><b>  主要內(nèi)容</b></p><p><b>  閱讀相關(guān)科技文獻。</b></p&

2、gt;<p>  學(xué)習(xí)protel軟件的使用。</p><p>  學(xué)會整理和總結(jié)設(shè)計文檔報告。</p><p>  學(xué)會如何查找器件手冊及相關(guān)參數(shù)。</p><p><b>  技術(shù)要求</b></p><p>  要求電路使用9個法官二極管顯示拔河過程,開機后只有中間一個發(fā)光二級管發(fā)亮,即為拔河的中心點

3、。</p><p>  游戲雙方各持一個按鈕,按鈕每按下一次,亮點向本方移動一次,拔河過程中,只有一個發(fā)光二級管發(fā)亮。</p><p>  亮點移動到任一方終端二極管時,此方獲勝,并且此時雙方按鈕均無作用,輸出狀態(tài)保持,復(fù)位后亮點回到中心點。</p><p><b>  主要參考資料</b></p><p>  何小艇,

4、電子系統(tǒng)設(shè)計,浙江大學(xué)出版社,2001年6月。</p><p>  姚福安,電子電路設(shè)計與實踐,山東科學(xué)技術(shù)出版社,2001年10月。</p><p>  王澄非,電路與數(shù)字邏輯設(shè)計實踐,東南大學(xué)出版社,1999年10月。</p><p>  李銀華,電子線路指導(dǎo),北京航空航天大學(xué)出版社,2005年6月。</p><p>  康華光,電子技術(shù)

5、基礎(chǔ),高教出版社,2003。</p><p>  完 成 期 限:2012年6月22日</p><p>  指 導(dǎo) 教 師 簽 章:_______________</p><p>  專業(yè)負(fù)責(zé) 人 簽 章:_______________</p><p>  2012年6月15日</p><p><b&

6、gt;  目錄</b></p><p>  摘要: 本實驗使我們進一步掌握數(shù)字電子技術(shù)的理論知識,培養(yǎng)學(xué)生工程設(shè)計能力和綜合分析問題、解決問題的能力。本課題的主要任務(wù)是讓拔河游戲控制電路的電平指示燈由中點向己方延伸,而阻止其向?qū)Ψ窖由?。可以設(shè)想用可預(yù)置的加/減計數(shù)器作主要器件,用計數(shù)器的輸出狀態(tài)通過譯碼器控制電平指示燈的顯示狀態(tài)。如當(dāng)計數(shù)器進行加法計數(shù)時,發(fā)亮的電平指示燈向甲方延伸,相反,進行減法計

7、數(shù)時,發(fā)亮的電平指示燈向相反方向延伸。當(dāng)延伸到一方的終點就就把電路鎖定,此時雙方按鍵均無作用,只有裁判員按了復(fù)位按鍵雙方才能繼續(xù)下一盤的比賽。</p><p>  本文設(shè)計思路如下:用9個發(fā)光二極管代替繩子。比賽開始時中間的二極管點亮。以此為拔河的中心點。游戲雙方各持一鍵,按動以產(chǎn)生脈沖,哪方按得快,亮點就向哪方移動,每按一次,亮點就移動一下,當(dāng)亮點移動到任一方終端二極管時,此方就獲勝。這時雙方按鍵均無作用,輸出

8、保持。只有通過復(fù)位,使亮點恢復(fù)到中心線,準(zhǔn)備進入下次游戲。 </p><p>  此電路可分為脈沖發(fā)生器電路和計數(shù)/譯碼器電路兩大部分。脈沖發(fā)生器電路部分采用兩個與非門組成的基本 RS 觸發(fā)器構(gòu)成的去抖電路以 及有與門、與非門構(gòu)成的整形電路,可以將按鈕 A、B 產(chǎn)生的脈沖整形成 占空比較高的信號。計數(shù)器電路部分以 74LS/HC192 為主體,譯碼器采用由集成芯片 CC4514 擴展的 4 線-16 線譯碼器。芯

9、片 74LS/HC192 根據(jù) UP 端和 DOWN 端的狀態(tài)來判斷進行加計數(shù)還是減計數(shù),然后將計數(shù)結(jié)果輸出到由CC4514 構(gòu)成的譯碼器,譯碼器將結(jié)果輸出到發(fā)光二極管。由于 CC4514 輸出端為高電平,所以當(dāng)雙方終端二極管對應(yīng)的輸出端有一個為高時即表示游戲結(jié)束,雙方按鍵失效。此功能實現(xiàn)可將 74LS192 的輸出置數(shù)至輸入端,使譯碼器的輸出保持,將雙方的端二極管對應(yīng)的輸出進行與運算,如果有一個為低則輸出為低,再接低電平有效的置數(shù)端,

10、實現(xiàn)游戲結(jié)束時按鍵無作用。</p><p>  本課程設(shè)計的內(nèi)容就是采用74LS00、74LS192、4線-16線譯碼器CC4514、74LS02、CC 4518設(shè)計的一個電子拔河游戲機,該游戲機具有整形、</p><p>  計數(shù)、譯碼、控制、復(fù)位等功能,設(shè)計原理簡單易懂,所設(shè)計的游戲機的游戲規(guī)則和真的拔河比賽規(guī)則相類似。</p><p>  關(guān)鍵字:去抖電路 C

11、C4514 ,反饋置數(shù) ,電子拔河游戲電路 ,發(fā)光二極管 ,集成電路 ,設(shè)計思路 ,中心點。</p><p>  設(shè)計任務(wù)分析 ……………………………………………………………………………….…………4</p><p>  第一節(jié) 設(shè)計的主要內(nèi)容與要求…………………………………………… 4</p><p>  一 主要內(nèi)容 …………………………………………………………

12、………4 </p><p>  二設(shè)計方法與技術(shù)要求 ………………………………………… …………4</p><p>  第二節(jié) 對所設(shè)計電路的概述…………………………………………… …4</p><p>  電路的線路設(shè)計方案與原理分析 ……………………………………………5</p><p>  第一節(jié) 拔河游戲控制電路的設(shè)計思路 ………………

13、……………………5</p><p>  第二節(jié)拔河游戲控制電路的設(shè)計方案論證………………………… …… 6</p><p>  一 脈沖發(fā)生器及去抖電路電路設(shè)計…………………………………………6</p><p>  二 整形電路……………………………………………………………………7</p><p>  第三章 編碼電路………………………………

14、………………………………………8</p><p>  第一節(jié) 加/減計數(shù)原理圖的設(shè)計……………………………………………8</p><p>  第四章 譯碼器及發(fā)光二極管組、反饋的設(shè)計…………………………………………………9</p><p>  第一節(jié) 原理圖設(shè)計…………………………………………………………9</p><p>  第二節(jié) 芯

15、片資料……………………………………………………………10</p><p>  第五章 電源電路及發(fā)光二級管簡介………………………………………16</p><p>  設(shè)計總結(jié)………………………………………………………………………18</p><p>  有關(guān)參考文獻:…………………………………………………………… 19</p><p>  附

16、錄1原理圖:………………………………………………………………20</p><p>  附錄2元件清單:……………………………………………………………21</p><p><b>  設(shè)計任務(wù)分析</b></p><p>  設(shè)計的主要內(nèi)容及要求</p><p><b>  一 主要內(nèi)容</b><

17、;/p><p><b>  閱讀相關(guān)科技文獻</b></p><p>  在本次課程設(shè)計中需要對電子線路的設(shè)計與分析有一定的了解,對于電子技術(shù)中的很多基礎(chǔ)需要明白。所以對于學(xué)生的對一些相關(guān)的科技文獻的查閱能力提出了一些要求。需要通過查閱相關(guān)的科技文獻才能把本次課程設(shè)計做的滿意。</p><p>  學(xué)習(xí)protel軟件的使用</p>

18、<p>  課程設(shè)計的過程中,對于所設(shè)計的控制電路的各個部分的原理圖,器件(本次課程設(shè)計中為 74LS193)的引腳圖與功能表.真值表等的繪制,需要專門的繪圖軟件,由于 protel 的普及面比較廣,應(yīng)用比較方便,操作方便等多種原因,所以在本次設(shè)計的電路中所需要繪制圖表,采用protel繪圖軟件來繪制。</p><p>  二 設(shè)計方法與技術(shù)要求</p><p> ?。ㄒ唬ㄒ?/p>

19、電路使用 9 個發(fā)光二極管顯示拔河過程,開機后只有中間 :一個發(fā)光二極管發(fā)亮,即為拔河的中心點。 </p><p> ?。ǘ┯螒螂p方各持一個按鈕:按鈕每按下一次,亮點向本方移動一次,拔河過程中,只有一個發(fā)光二極管發(fā)亮。 </p><p>  (三)亮點移動到任一方終端二極管時,此方獲勝,并且此時雙方按鈕均無作用,輸出狀態(tài)保持,復(fù)位后亮點回到中心點。</p><p>

20、;  第二節(jié) 對于所設(shè)計電路的概述</p><p>  拔河游戲雙方共有 8 個人,甲方 4 個人,乙方 4 個人,需要用到 8 個發(fā)光二極管,加上中間的一個發(fā)光二極管(即拔河的中心線),一共需要用到 9個發(fā)光二極管。由這 9 個 LED 發(fā)光二極管組成一排表示拔河的 “電子繩”。游戲雙方各持一鍵,按動以產(chǎn)生脈沖,哪方按得快,亮點 就向哪方移動,每按一次,亮點就移動一下,當(dāng)亮點移動到任一方終端二極管時,此方就獲勝

21、。這時雙方按鍵均無作用輸出保持。只有通過復(fù)位,使亮點恢復(fù)到中心線,準(zhǔn)備進入下次游戲。</p><p>  根據(jù)設(shè)計設(shè)計所做的要求,本電路應(yīng)有七個主要部分組成,如下所示。</p><p><b> ?。ㄒ唬┟}沖產(chǎn)生器 </b></p><p>  當(dāng)甲乙雙方按動按鈕后,分別產(chǎn)生一個信號,加到可逆計數(shù)器上</p><p>&

22、lt;b> ?。ǘ┛赡嬗嫈?shù)器 </b></p><p>  可逆計數(shù)器 CC40192(或 74LS192)原始狀態(tài)輸出為四位二進制數(shù) 0000,經(jīng)譯碼器輸出使中間的一只電平指示燈亮(表示目前位于中心線處)可逆計數(shù)器輸出的代碼經(jīng)譯碼器譯碼后驅(qū)動點評指示燈點亮并產(chǎn)生移位。</p><p><b>  整形電路 </b></p><

23、p>  加一整形電路,使 A、B 二鍵出來的脈沖經(jīng)整形后變?yōu)橐粋€占空比很大的脈沖,可以減少進行某一計數(shù)時另一計數(shù)輸入為低電平的可能性,5 從而使每按一次鍵都有可能進行有效的計數(shù)。 </p><p><b>  譯碼器 </b></p><p>  譯碼器首先輸入為 0000,輸出為 1,中心處指示燈首先點亮;當(dāng)可逆計數(shù)器進行加法計數(shù)時,指示燈上的亮點向右移(即向

24、甲方移動),當(dāng)可逆計數(shù)器做減法計數(shù)時,指示燈的亮點向左移(即向乙方移動)。 </p><p><b>  控制器 </b></p><p>  在電路中使用控制器是為了指出游戲的雙方誰勝誰負(fù)。當(dāng)亮點移到任一方的終端時,該方獲勝。此時雙方的按鍵均宣告無效。 </p><p><b>  (六)勝負(fù)顯示器</b></p&

25、gt;<p>  由計數(shù)器CC4518和譯碼顯示器構(gòu)成。將雙方終端指示燈正極經(jīng)與非門輸出后分別接到2個CC4518計數(shù)器的EN端,CC4518的兩組4位BCD碼分別接到實驗箱中的兩組譯碼顯示器的8、4、2、1插孔上。當(dāng)一方取勝時,該方終端指示燈發(fā)亮,產(chǎn)生一個上升沿,使相應(yīng)的計數(shù)器進行加一計數(shù),于是就得到了雙方取勝次數(shù)的顯示,若1位數(shù)不夠,則進行2位數(shù)的級連。</p><p>  (七)電路復(fù)位功能

26、</p><p>  為能進行多次比賽而需要進行復(fù)位操作,使亮點返回中心點。</p><p><b>  拔河游戲原理框圖</b></p><p>  電路的線路設(shè)計方案與原理分析</p><p>  拔河游戲控制電路的設(shè)計思路</p><p>  由上面的要求,可以使用以下步驟來設(shè)計電路:<

27、;/p><p>  1 .根據(jù)分析設(shè)計的要求,可明確電路的性能指標(biāo)。</p><p>  分析課題要求、性能、指標(biāo)及應(yīng)用環(huán)境等,搜集部分資料,整理資料,廣開思路,構(gòu)思出各種總體方案,繪制結(jié)構(gòu)框圖。 </p><p>  2 .確定合理的總體方案: </p><p>  由對各種方案進行比較,以電路的先進性、結(jié)構(gòu)的繁簡、成本的高低及制作的難易等方面

28、作綜合比較,并考慮器件的來源,之后敲定可行方案。 </p><p>  3 .設(shè)計各部分單元電路</p><p>  總體方案化整為零,分解成若干子系統(tǒng)或單元電路,對各個單元電路逐個進行設(shè)計。</p><p><b>  4.組成系統(tǒng)</b></p><p>  在一定幅面的圖紙上合理布局,按信號的流向,采用左進右出的規(guī)

29、律擺放各個單元電路,并標(biāo)出必要的說明。</p><p>  拔河游戲控制電路的設(shè)計方案</p><p>  一 脈沖發(fā)生器及去抖電路電路設(shè)計

30、 </p><p>  由與門74LS08和與非門74LS00構(gòu)成去抖電路和整形電路。</p><p><b>  原理圖如圖2所示</b></p><p>  圖2 脈沖發(fā)生器電路</p><p>  去抖電路:機械開關(guān)接通時,由于振動開關(guān)會使電壓或電流波形產(chǎn)生“毛刺”,利用基本RS觸發(fā)器的記憶作用可以消

31、除上述開關(guān)振動所產(chǎn)生的影響,設(shè)單刀雙擲開關(guān)原來與B點接通,這時觸發(fā)器的狀態(tài)為0。當(dāng)開關(guān)由B撥向A時,其中有一短暫的浮空時間,這時觸發(fā)器的R﹑S均為1,Q仍為0。中間觸點與A接觸時,A點的電位由于振動而產(chǎn)生“毛刺”。但是,首先是B點已經(jīng)為高電平,A點一旦出現(xiàn)低電平,觸發(fā)器的狀態(tài)翻轉(zhuǎn)為1,即使A點再出現(xiàn)高電平,也不會再改變觸發(fā)器的狀態(tài),所以Q端的電壓波形不會出現(xiàn)“毛刺”現(xiàn)象。</p><p>  基本RS觸發(fā)器功能表

32、 </p><p><b>  二 整形電路</b></p><p>  整形電路:由與門CD4071和與非門74LS00構(gòu)成。因74LS192是可逆計數(shù)器,控制加減的CP脈沖分別加至5腳和4腳,此時當(dāng)電路要求進行加法計數(shù)時,減法輸入端CPD必須接高電平;進行減法計數(shù)時,加法輸入端CPU也必須接高電平,若直接由A、B鍵產(chǎn)生的脈沖加到5腳或4腳,就有很多時機在進

33、行計數(shù)輸入時另一計數(shù)輸入端為低電平,使計數(shù)器不能計數(shù),雙方按鍵均失去作用,拔河比賽不能正常進行。加一整形電路,使A、B二鍵出來的脈沖經(jīng)整形后變?yōu)橐粋€占空比很大的脈沖,這就減少了進行某一計數(shù)時另一計數(shù)輸入為低電平的可能性,從而使每按一次鍵都有可能進行有效的計數(shù)。 圖為整形電路圖</p><p><b>  第三章 編碼電路</b></p><p>  

34、第一節(jié) 加/減計數(shù)原理圖的設(shè)計</p><p>  主要由74LS192構(gòu)成,以及開關(guān)和電阻構(gòu)成的復(fù)位電路。</p><p><b>  圖3 加/減計數(shù)器</b></p><p>  加/減計數(shù)器工作原理</p><p>  編碼電路:由雙時鐘BCD同步可逆計數(shù)器74LS192構(gòu)成,它有2個輸入端,4個輸出端,能進行

35、加/減計數(shù)。當(dāng)CPu和CPd為上升沿且另一個為高電平時,開始加或減計數(shù)。由于開始工作前有清零步驟所以所以輸出的初始狀態(tài)為0。當(dāng)CPu為上升沿且CPd為高時,計數(shù)器開始加計數(shù),輸出由0000變?yōu)?001,當(dāng)再次出現(xiàn)如此狀態(tài)時依次加計數(shù);當(dāng)CPd為上升沿且CPu為高時,計數(shù)器開始減計數(shù),輸出由0000變?yōu)?111,當(dāng)再次出現(xiàn)如此狀態(tài)時依次減計數(shù)。若直接由A、B鍵產(chǎn)生的脈沖加到5腳或4腳,那么就有很多時機在進行計數(shù)輸入時另一計數(shù)輸入端為低電平

36、,使計數(shù)器不能計數(shù),雙方按鍵均失去作用,拔河比賽不能正常進行。加一整形電路,前兩個與非門組成一個基本RS觸發(fā)器,在按鈕的作用下產(chǎn)生脈沖,后一個與門和兩個與非門組成一個整形電路其作用是產(chǎn)生一個占空比很大的脈沖信號,這樣就減少某一方在計數(shù)時另一方輸出為低電平的概率,使甲乙雙方都能有效計數(shù)。</p><p>  第四章 譯碼器及發(fā)光二極管組、反饋的設(shè)計</p><p>  第一節(jié) 原理圖設(shè)計&

37、lt;/p><p>  圖 4 譯碼器及二極管組、反饋部分電路</p><p><b>  工作原理</b></p><p>  電路使用的4線-16線譯碼器是由兩個4線-16線譯碼器CC4514進行擴展構(gòu)成。用CC4514實現(xiàn)4線-16線譯碼器時, 有4個輸入端A、B、C、D 和16個輸出端,只需要選出9個合適的輸出端即可。</p>

38、<p>  譯碼器接受上級的輸出信號將其譯碼并在相應(yīng)的輸出端輸出,輸出的有效信號高低電平。在譯碼器的輸出級接一組發(fā)光二極管,并且接上限流電阻以保護二極管,二極管陽極接譯碼器輸出,陽極接保護電阻再接地。如此,當(dāng)譯碼器某輸出端輸出高電平時對應(yīng)的發(fā)光二極管發(fā)光。</p><p>  當(dāng)比賽雙方的某一方的端二極管發(fā)光時比賽結(jié)束,此時兩個端二極管一個為低一個為高。根據(jù)設(shè)計要求此時雙方的按鈕應(yīng)該失去作用,所以將

39、輸出狀態(tài)反饋至加/減計數(shù)器74Ls192的置數(shù)端,并將74Ls192的輸出接至輸入端,讓其循環(huán)置數(shù)。因此,端二極管的電平信號反饋到時須為低電平,將兩二極管的信號經(jīng)過與運算即可。</p><p><b>  第二節(jié) 芯片資料</b></p><p><b>  74LS192簡介</b></p><p>  192 為可預(yù)置

40、的十進制同步加/減計數(shù)器,共有54192/74192,54LS192/74LS192 兩種線路結(jié)構(gòu)形式。其主要電特性的典型值如下: </p><p>  型號 fc PD</p><p>  54192/74192 32MHz 325mW </p><p>  54LS192/74LS192

41、 32MHz 95mW </p><p>  192 的清除端是異步的。當(dāng)清除端(MR)為高電平時,不管時鐘端(CPd、CPu)狀態(tài)如何,即可完成清除功能。 </p><p>  192 的預(yù)置是異步的。當(dāng)置入控制端(LOAD)為低電平時,不管時鐘(CPd、CPu)的狀態(tài)如何,輸出端(QA-QD)即可預(yù)置成與數(shù)據(jù)輸入端(A-D)相一致的狀態(tài)。 </p><

42、p>  192 的計數(shù)是同步的,靠CPd、CPu同時加在 4個觸發(fā)器上而實現(xiàn)。在CPd、CPu上升沿作用下QA-QD同時變化,從而消除了異步計數(shù)器中出現(xiàn)的計數(shù)尖峰。</p><p>  當(dāng)進行加計數(shù)或減計數(shù)時可分別利用CPd或CPu,此時另一個時鐘應(yīng)為高電平。 </p><p>  當(dāng)計數(shù)上溢出時,進位輸出端(TCd)輸出一個低電平脈沖,其寬度為 CPu 低電平部分的低電平脈沖;當(dāng)計

43、數(shù)下溢出時,錯位輸出端(TCu)輸出一個低電平脈沖,其寬度為 CPd低電平部分的低電平脈沖。 當(dāng)把 TCu和 TCd 分別連接后一級的CPu、CPd,即可進行級聯(lián)。</p><p>  74HC192引腳圖</p><p>  (a)引腳排列  (b) 邏輯符號</p><p><b>  注:引出端符號 <

44、/b></p><p>  TCu 錯位輸出端(低電平有效) </p><p>  TCu 進位輸出端(低電平有效) </p><p>  CPu 減計數(shù)時鐘輸入端(上升沿有效)</p><p>  CPd 加計數(shù)時鐘輸入端(上升沿有效) </p><p>

45、  MR 異步清除端 </p><p>  A-D 并行數(shù)據(jù)輸入端 </p><p>  PL 異步并行置入控制端(低電平有效)</p><p>  QA-QD 輸出端</p><p>  圖中:為置數(shù)端,為加計數(shù)端,為減計數(shù)端,為非同步進位輸出端, 為非同步借位輸出端,P0、P1、P2、P

46、3為計數(shù)器輸入端,為清除端,Q0、Q1、Q2、Q3為數(shù)據(jù)輸出端。</p><p>  4HC192 功能表如下:</p><p>  簡要說明: 引腳圖</p><p>  4線-16線譯碼器功能表</p><p>  由CC4514構(gòu)成的4線-16線譯碼器功能表</p><p>  將上述各各部分模塊

47、組合在一起,就構(gòu)成了拔河游戲控制電路原理圖,生成相應(yīng)的PCB板圖,購買元器件就可以做出實物,簡易而實用。</p><p>  74LS00簡介及功能表</p><p><b>  74LS00簡介 </b></p><p>  74LS00 為四組 2 輸入端與非門 (正邏輯) , 共有 54/7400、 54/74H00、 54/74S00、

48、 54/74LS00四種線路結(jié)構(gòu)形式,74LS08為四組 2 輸入端與門(正邏輯),共有 54/7408、54/74S08、54/74LS08 三種線路結(jié)構(gòu)</p><p>  74LS00管腳圖:</p><p><b>  74LS00功能表</b></p><p>  74LS08功能表及管腳圖</p><p> 

49、 74LS08功能表:四2輸入與門74ls08引腳圖,功能及主要參數(shù)</p><p><b>  74LS08管腳圖</b></p><p>  第五章 電源電路及發(fā)光二級管簡介</p><p>  此電源輸出電壓為5V,因此220V經(jīng)變壓器變壓后輸出電壓要略為大于5V,經(jīng)過整流、濾波、穩(wěn)壓后輸出比較穩(wěn)定的5V電壓。</p>&l

50、t;p><b>  發(fā)光二極管簡介</b></p><p>  發(fā)光二極管 發(fā)光二極管是一種半導(dǎo)體顯示器件,簡稱為LED.由鎵(Ga)與砷(AS)、磷(P)的化合物制成的二極管,當(dāng)電子與空穴復(fù)合時能輻射出可見光,因而可以用來制成發(fā)光二極管,在電路及儀器中作為指示燈,或者組成文字或數(shù)字顯示。磷砷化鎵二極管發(fā)紅光,磷化鎵二極管發(fā)綠光,碳化硅二極管發(fā)熒光。</p><p

51、>  發(fā)光二極管是半導(dǎo)體二極管的一種,可以把電能轉(zhuǎn)化成光能;它與普通二極管一樣是由一個PN結(jié)組成,也具有單向?qū)щ娦?當(dāng)給發(fā)光二極管加上正向電壓后,從P區(qū)注入到N區(qū)的空穴和由N區(qū)注入到P區(qū)的電子,在PN結(jié)附近數(shù)微米內(nèi)分別與N區(qū)的電子和P區(qū)的空穴復(fù)合,產(chǎn)生自發(fā)輻射的熒光。不同的半導(dǎo)體材料中電子和空穴所處的能量狀態(tài)不同。當(dāng)電子和空穴復(fù)合時釋放出的能量多少不同,釋放出的能量越多,則發(fā)出的光的波長越短。當(dāng)它處于正向工作狀態(tài)時(即兩端加上正向

52、電壓),電流從LED陽極流向陰極時,半導(dǎo)體晶體就發(fā)出,從紫外到紅外不同顏色的光線,光的強弱與電流有關(guān).實驗設(shè)計中的發(fā)光二極管串聯(lián)在電路中作為顯示器件,其正向電壓為1.5V~2.2V,工作電流為10mA。常用的是發(fā)紅光、綠光或黃光的二極管,它們的材料和主要特性大致如下表3-13所示:</p><p>  表3-4 LED的材料和主要特性</p><p>  發(fā)光二極管的反向擊穿電壓約5伏

53、.它的正向伏安特性曲線很陡,使用時必須串聯(lián)限流電阻以控制通過管子的電流.限流電阻R可用式3-2計算:</p><p>  R=(E-UF)/IF                       

54、60;         (3-1)               </p><p>  式中E為電源電壓,UF為LED的正向壓降,IF為LED的一般工作電流.發(fā)光二極管的兩根引線中較長的一

55、根為正極,應(yīng)按電源正極.有的發(fā)光二極管的兩根引線一樣長,但管殼上有一凸起的小舌,靠近小舌的引線是正極.與小白熾燈泡和氖燈相比,發(fā)光二極管的特點是:工作電壓很低(有的僅一點幾伏);工作電流很?。ㄓ械膬H零點幾毫安即可發(fā)光);抗沖擊和抗震性能好,可靠性高,壽命長;通過調(diào)制通過的電流強弱可以方便地調(diào)制發(fā)光的強弱.由于有這些特點,發(fā)光二極管在一些光電控制設(shè)備中用作光源,在許多電子設(shè)備中用作信號顯示器。</p><p>&l

56、t;b>  設(shè)計總結(jié)</b></p><p>  拔河游戲控制電路主要運用了數(shù)電中去抖電路、整形電路的知識以及數(shù)字集成電路74HC192和CC4514的外接和擴展,并且使用了反饋置數(shù)的方法。</p><p>  在做本次課程設(shè)計的過程中,我感觸最深的就是查閱了大量的設(shè)計資料。為了使自己的設(shè)計更加完善,查閱這方面的設(shè)計資料是十分必要的。在設(shè)計的過程中,我再一次了解了集成芯片

57、74HC192 ﹑CC4514﹑74LS00和74LS08功能特性,并且和課本上所學(xué)的集成芯片作比較,是自己對這一塊的知識認(rèn)識的更為深刻。另外,通過畫Protel原理圖,學(xué)會了有關(guān)Protel的不少基礎(chǔ)知識。</p><p>  經(jīng)過一個多星期的努力和付出的汗水,終于把課程設(shè)計做完了。真是不容易呀,一份耕耘,一份收獲。這次課程設(shè)計給了我許多收獲。本次課程設(shè)計后我對數(shù)字電子技術(shù)有了更進一步的熟悉,實際操作和課本上的

58、知識有很大聯(lián)系,但又高于課本,一個看似很簡單的電路,要動手把它設(shè)計出來就比較困難了,因為是設(shè)計要求我們在以后的學(xué)習(xí)中注意這一點,要把課本上所學(xué)到的知識和實際聯(lián)系起來,同時通過本次電路的設(shè)計,不但鞏固了所學(xué)知識,也使我們把理論與實踐從真正意義上結(jié)合起來,增強了學(xué)習(xí)的興趣,考驗了我們借助互聯(lián)網(wǎng)絡(luò)搜集、查閱相關(guān)文獻資料,和組織材料的綜合能力。不僅這樣,還使我熟悉掌握了WORD文檔的編輯和ALTIUM畫圖軟件的應(yīng)用。 通過設(shè)計拔河游戲

59、機控制電路,讓我對數(shù)字邏輯這門課有了更深刻的了解,對電子器件的認(rèn)識也不再停留在字面上,實現(xiàn)了從理論到實踐的飛躍。我了解到理論和實踐是分不開的。只有理論不行,當(dāng)你面對一堆元氣件時,你會茫然不知所措;可只懂實踐也不行,當(dāng)別人問你為什么會這樣,怎么樣才算正確,你如果說憑感覺是不足以服人的。學(xué)習(xí)的目的就是去應(yīng)用,只有實踐才能將書本知識轉(zhuǎn)化為實用的技能。</p><p>  這次課程設(shè)計,也使我發(fā)現(xiàn)了自己理論知識的不足,

60、要想進行電路方面設(shè)計,需要注意很多問題,多儲備一些這方面的知識,在進行設(shè)計是才會得心應(yīng)手。此次設(shè)計方面還是存在一定的缺陷,還望老師批評指正。</p><p><b>  參考文獻</b></p><p>  何小艇,電子系統(tǒng)設(shè)計,浙江大學(xué)出版社,2001年6月</p><p>  姚福安,電子電路設(shè)計與實驗,山東科學(xué)技術(shù)出版社,2001年10月

61、</p><p>  王澄非,電路與數(shù)字邏輯設(shè)計實踐,東南大學(xué)出版社,1999年10月</p><p>  李銀華,電子線路設(shè)計指導(dǎo),北京航空航天大學(xué)出版社,2005年6月</p><p>  康光華,電子技術(shù)基礎(chǔ),高教出版社,2003</p><p><b>  附錄1原理圖</b></p><p&

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論