2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩18頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p>  課 程 設(shè) 計(jì) 報(bào) 告 書(shū)</p><p>  所屬課程名稱(chēng) 數(shù)字電子技術(shù)課程設(shè)計(jì)</p><p>  題 目 數(shù)字電子鐘課程設(shè)計(jì) </p><p>  專(zhuān)業(yè)班級(jí) </p><p>  學(xué)  號(hào) </p><p>  學(xué)生姓

2、名 </p><p>  指導(dǎo)教師 </p><p>  20 16 年 01 月 08日</p><p>  摘要:本論文基于數(shù)字電子技術(shù)介紹了一款于AT89C52芯片作為核心控制器的數(shù)字電子鐘的設(shè)計(jì)與制作,包括硬件電路原理的實(shí)現(xiàn)方案設(shè)計(jì)、軟件程序編輯的實(shí)現(xiàn)、數(shù)字電子鐘正常工作的流

3、程、原理圖仿真實(shí)現(xiàn)、硬件實(shí)物的安裝制作與硬件實(shí)物的調(diào)試過(guò)程。該數(shù)字電子鐘采用LED數(shù)碼管能夠準(zhǔn)確顯示時(shí)間(顯示格式為:時(shí)時(shí),分分,秒秒),可隨時(shí)進(jìn)行時(shí)間調(diào)整,時(shí)間可采用12 小時(shí)制顯示或24 小時(shí)制顯示,采用12 小時(shí)顯示時(shí)可在標(biāo)志位數(shù)碼管上顯示A(表示上午)或B(表示下午),可暫停時(shí)間的變動(dòng),暫停時(shí)一位數(shù)碼管上顯示字母H,可按自己的要求設(shè)置擴(kuò)展的小鍵盤(pán)個(gè)數(shù)。</p><p>  Make a summary:D

4、igital electronic technologies introduced in this paper is based on a section in the AT89C52 chip as the core controller of digital electronic clock design and production, including realization of hardware circuit design

5、, software programs editing, digital electronic clock working process physical simulation, schematic and hardware installation make physical debugging and hardware. The digital electronic Bell used LED digital tube can a

6、ccurate displayed time (displayed format for</p><p>  關(guān)鍵詞:數(shù)字電子技術(shù) ;數(shù)字電子鐘 ;數(shù)碼管 ;AT89C52</p><p><b>  一、簡(jiǎn)述</b></p><p>  數(shù)字電子鐘是一種利用數(shù)字電路來(lái)顯示秒、分、時(shí)的計(jì)時(shí)裝置,與傳統(tǒng)的機(jī)械鐘相比,

7、它具有走時(shí)準(zhǔn)確、顯示直觀(guān)、無(wú)機(jī)械傳動(dòng)裝置等優(yōu)點(diǎn),因而廣泛用于個(gè)人家庭以及車(chē)站、碼頭、劇院、辦公室等公共場(chǎng)所,給人們的生活、學(xué)習(xí)、工作、娛樂(lè)帶來(lái)極大地方便。</p><p>  數(shù)字電子時(shí)鐘的電路組成方框圖如圖1所示。</p><p>  由圖1可見(jiàn),數(shù)字電子時(shí)鐘核心部分主要由以下幾大部分組成:石英晶體振蕩器和分頻器組成的秒脈沖發(fā)生器;校時(shí)電路;六十進(jìn)制秒鐘和六十進(jìn)制分鐘計(jì)數(shù)器,二十四進(jìn)制(

8、或十二進(jìn)制)計(jì)時(shí)計(jì)數(shù)器;秒、分、時(shí)、天的譯碼顯示部分等。</p><p><b>  二、設(shè)計(jì)任務(wù)和要求</b></p><p>  用中小規(guī)模的集成電路設(shè)計(jì)一臺(tái)能顯示日、時(shí)、分、秒的數(shù)字電子時(shí)鐘要求如下:</p><p> ?。?)為了保證計(jì)時(shí)的穩(wěn)定及準(zhǔn)確須由晶體振蕩器產(chǎn)生1Hz標(biāo)準(zhǔn)秒信號(hào)</p><p> ?。?)時(shí)

9、間以24小時(shí)為一個(gè)周期</p><p>  (3)00~59的60進(jìn)制顯示分、秒</p><p>  (4)00~23的24進(jìn)制顯示時(shí)</p><p> ?。?)有校時(shí)功能,可以分別對(duì)日、時(shí)、分和秒進(jìn)行單獨(dú)校時(shí),使其校正到標(biāo)準(zhǔn)時(shí)間;</p><p>  (6)計(jì)時(shí)過(guò)程具有報(bào)時(shí)功能,當(dāng)時(shí)間到達(dá)整點(diǎn)前6秒進(jìn)行蜂鳴報(bào)時(shí);</p>&l

10、t;p>  三、設(shè)計(jì)方案選擇與論證</p><p>  根據(jù)數(shù)字電子時(shí)鐘的設(shè)計(jì)任務(wù)和要求,對(duì)照數(shù)字電子鐘的框圖,本設(shè)計(jì)可以分為以下幾部分進(jìn)行模塊化設(shè)計(jì)、調(diào)試、仿真和實(shí)現(xiàn):</p><p><b>  秒脈沖發(fā)生器</b></p><p> ?。?)由集成電路定時(shí)器555與RC組成的多諧振蕩器作為時(shí)間標(biāo)準(zhǔn)信號(hào)源。</p>&l

11、t;p>  555定時(shí)器是一種模擬和數(shù)字功能相結(jié)合的中規(guī)模集成器件。其成本低,性能可靠,只需要外接幾個(gè)電阻、電容,就可以實(shí)現(xiàn)多諧振蕩器、單穩(wěn)態(tài)觸發(fā)器及施密特觸發(fā)器等脈沖產(chǎn)生與變換電路。</p><p>  它也常作為定時(shí)器廣泛應(yīng)用于儀器儀表、家用電器、電子測(cè)量及自動(dòng)控制等方面。如圖2就是由555定時(shí)器組成的多諧振蕩器。雖然它的性能已經(jīng)比較穩(wěn)定和可靠了,但是對(duì)于數(shù)字電子時(shí)鐘來(lái)說(shuō),秒脈沖發(fā)生器是數(shù)字電子時(shí)鐘的核

12、心部分,振蕩器的頻率穩(wěn)定性直接決定了數(shù)字電子時(shí)鐘的質(zhì)量,因此數(shù)字電子時(shí)鐘對(duì)多諧振蕩器的頻率穩(wěn)定性有著更為苛刻的要求。</p><p>  然而石英晶體多諧振蕩器就有著極高的頻率穩(wěn)定性。</p><p> ?。?)石英晶體振蕩器產(chǎn)生脈沖源</p><p>  石英晶體振蕩器,石英諧振器簡(jiǎn)稱(chēng)為晶振,它是利用具有壓電效應(yīng)的石英晶體片制成的。這種石英晶體薄片受到外加交變電場(chǎng)

13、的作用時(shí)會(huì)產(chǎn)生機(jī)械振動(dòng),當(dāng)交變電場(chǎng)的頻率與石英晶體的固有頻率相同時(shí),振動(dòng)便變得很強(qiáng)烈,這就是晶體諧振特性的反應(yīng)。利用這種特性,就可以用石英諧振器取代LC(線(xiàn)圈和電容)諧振回路、濾波器等。由于石英諧振器具有體積小、重量輕、可靠性高、頻率穩(wěn)定度高等優(yōu)點(diǎn),被應(yīng)用于家用電器和通信設(shè)備中。石英諧振器因具有極高的頻率穩(wěn)定性,頻率穩(wěn)定度在10-4~10-12范圍內(nèi),經(jīng)校準(zhǔn)一年內(nèi)可保持10-9的準(zhǔn)確度,高質(zhì)量的石英晶體振蕩器,在經(jīng)常校準(zhǔn)時(shí),頻率準(zhǔn)確可達(dá)

14、10-11。故石英晶體振蕩器主要用在要求頻率十分穩(wěn)定的振蕩電路中作諧振元件。</p><p>  基于其超高穩(wěn)定性,所以在設(shè)計(jì)上通常采用晶體震蕩器發(fā)出脈沖。但是,往往市場(chǎng)上進(jìn)行大批量生產(chǎn)的晶體振蕩器的震蕩頻率都比較高,并沒(méi)有震蕩頻率為1Hz的晶振,所以通常用晶體振蕩器發(fā)出高頻率的脈沖再經(jīng)過(guò)分頻器整形、分頻獲得1Hz的秒脈沖。</p><p>  所謂“分頻”,就是把輸入信號(hào)的頻率變成成倍數(shù)

15、地低于輸入頻率的輸出信號(hào)。然而用計(jì)數(shù)器分頻的方法做“分頻器”的方法,只是眾多方法中的一種。它的原理是:把輸入的信號(hào)作為計(jì)數(shù)脈沖,由于計(jì)數(shù)器的輸出端口是按一定規(guī)律輸出脈沖的,所以對(duì)計(jì)數(shù)器的不同的輸出端口輸出的信號(hào)脈沖,就可以看作是對(duì)輸入信號(hào)的分頻。</p><p>  如圖3的秒脈沖發(fā)生器所示,本設(shè)計(jì)用32768Hz的石英晶體振蕩器作為數(shù)字電子時(shí)鐘的時(shí)鐘脈沖,再用4060BD芯片和一個(gè)D觸發(fā)器對(duì)其進(jìn)行分頻。<

16、/p><p><b>  時(shí)間計(jì)數(shù)器電路</b></p><p>  (1)10進(jìn)制計(jì)數(shù)器電路</p><p>  表1 4位同步二進(jìn)制計(jì)數(shù)器74160功能表</p><p>  如上表1所示的74LS160是一個(gè)4位二進(jìn)制的計(jì)數(shù)器,它具有異步清除端與同步清除端不同的是,它不受時(shí)鐘脈沖控制,只要來(lái)有效電平,就立即清零,無(wú)需

17、再等下一個(gè)計(jì)數(shù)脈沖的有效沿到來(lái)。</p><p><b>  具體功能如下:</b></p><p><b>  1)異步清零功能:</b></p><p>  只要(Rd的非)有效電平到來(lái),無(wú)論有無(wú)CP的脈沖,數(shù)器輸出為“0”。若接成六進(jìn)制計(jì)數(shù)器,這里要特別注意,控制清零端的信號(hào)不是N-1(5),而是N(6)狀態(tài)。其實(shí),

18、很容易解釋?zhuān)捎诋惒角辶愣诵盘?hào)一旦出現(xiàn)就立即生效,如剛出現(xiàn)0110,就立即送到(Rd的非)端,使?fàn)顟B(tài)變?yōu)?000。所以,清零信號(hào)是非常短暫的,僅是過(guò)度狀態(tài),不能成為計(jì)數(shù)的一個(gè)狀態(tài)。清零端是低電平有效。2)同步置數(shù)功能:當(dāng)(LD的非)為有效電平時(shí),計(jì)數(shù)功能被禁止,在CP脈沖上升沿作用下D0~D3的數(shù)據(jù)被置入計(jì)數(shù)器并呈現(xiàn)在Q0~Q3端。若接成六進(jìn)制計(jì)數(shù)器,控制置數(shù)端的信號(hào)是N(5)狀態(tài),如在D0~D3置入0000,則在Q0~Q3端呈現(xiàn)的

19、數(shù)據(jù)就是0101。</p><p>  由此看來(lái),時(shí)鐘電路中更適合于用 74LS160芯片。故本設(shè)計(jì)采用了74LS160芯片。如圖4所示,用74LS160和74LS48譯碼構(gòu)成了十進(jìn)制計(jì)數(shù)顯示模塊。構(gòu)成了十進(jìn)制計(jì)數(shù)器之后就很容易得到如圖5所示的24進(jìn)制計(jì)數(shù)器顯示模塊和圖6的60 進(jìn)制計(jì)數(shù)器顯示電路。</p><p><b>  整點(diǎn)報(bào)時(shí)電路</b></p>

20、<p>  當(dāng)計(jì)時(shí)器在每次計(jì)到整點(diǎn)前6秒時(shí),需要進(jìn)行報(bào)時(shí),這個(gè)時(shí)候我們可以通過(guò)若干的譯碼電路來(lái)解決這個(gè)問(wèn)題。也就是每個(gè)小時(shí)內(nèi)當(dāng)同時(shí)分為第59分鐘秒為第54秒的時(shí)候輸出一個(gè)延時(shí)高電平去打開(kāi)低音與門(mén),使得報(bào)時(shí)聲音按照512Hz的頻率 進(jìn)行報(bào)時(shí)鳴叫5聲,直到秒計(jì)數(shù)到58秒時(shí),結(jié)束該高電平脈沖。當(dāng)秒計(jì)到59秒時(shí),則用譯碼電路去驅(qū)動(dòng)高音1024Hz頻率輸出而鳴叫一聲整點(diǎn)報(bào)時(shí)的高音。實(shí)現(xiàn)上述功能的譯碼電路如圖7的譯碼電路所示。<

21、/p><p><b>  4.校正時(shí)間電路</b></p><p>  在剛剛開(kāi)機(jī)接通電源時(shí),由于日、時(shí)、分、秒為初始的狀態(tài)00:00:00值,所以我們需要給電路設(shè)計(jì)校正時(shí)間的電路。置開(kāi)關(guān)于手動(dòng)的位置,可以分別對(duì)日、時(shí)、分、秒進(jìn)行單獨(dú)計(jì)數(shù),計(jì)數(shù)脈沖可以由單次脈沖或連續(xù)脈沖進(jìn)行輸入,從而可以達(dá)到分別對(duì)日、時(shí)、分、秒進(jìn)行校正的目的。通常,校正時(shí)間的方法是:首先截?cái)嗾5挠?jì)數(shù)通

22、路,然后再進(jìn)行人工觸發(fā)計(jì)數(shù)或?qū)㈩l率較高的方波信號(hào)加到需要校正的計(jì)數(shù)單元的輸入端,校正好后,再轉(zhuǎn)入正常計(jì)時(shí)狀態(tài)即可。根據(jù)要求,數(shù)字鐘應(yīng)具有分別對(duì)日、時(shí)、分、秒校正功能,因此,應(yīng)截?cái)喾謧€(gè)位和時(shí)個(gè)位的直接計(jì)數(shù)通路,并采用正常計(jì)時(shí)信號(hào)與校正信號(hào)可以隨時(shí)切換的電路接入其中。</p><p>  單次和連續(xù)脈沖校正電路圖如圖8所示,校準(zhǔn)電路單次脈沖由基本RS觸發(fā)器和單刀雙擲開(kāi)關(guān)組成,而連續(xù)脈沖由555定時(shí)器和少量的外圍器件組

23、成??梢酝ㄟ^(guò)開(kāi)關(guān)進(jìn)行切換校正的速度,方便時(shí)間的校正。秒狀態(tài)可以直接進(jìn)入“分”計(jì)數(shù)器,而“分”進(jìn)位脈沖被阻止進(jìn)入,因而能快地校準(zhǔn)分、時(shí)、日計(jì)數(shù)器的計(jì)數(shù)值。校準(zhǔn)后,將校正開(kāi)關(guān)恢復(fù)原位,數(shù)字鐘繼續(xù)進(jìn)行正常計(jì)時(shí)工作。</p><p>  四、電路設(shè)計(jì)計(jì)算與分析</p><p><b>  1.秒脈沖電路</b></p><p>  在秒脈沖產(chǎn)生電路中本

24、設(shè)計(jì)選用的石英晶振是32.768kHz的,欲獲得1Hz的脈沖必須得用分頻器對(duì)32768Hz分頻處理。因?yàn)?的15次方為32768,因此這個(gè)晶振輸出的時(shí)鐘信號(hào)得通過(guò)15分頻之后再使用。設(shè)計(jì)中采用的4060BD是一個(gè)十四分頻器,因此經(jīng)過(guò)4060BD的14分頻作用后從其3引腳輸出的脈沖頻率就變成了2Hz,然后把這個(gè)2Hz的脈沖信號(hào)作為下降沿觸發(fā)的D觸發(fā)器的時(shí)鐘信號(hào),再將下降沿觸發(fā)的D觸發(fā)器的Q’端將D端連接起來(lái),最后在Q端就得到的一個(gè)時(shí)鐘頻率

25、為1Hz的秒脈沖輸出信號(hào),以此來(lái)作為數(shù)字電子時(shí)鐘的秒計(jì)數(shù)脈沖。圖9為在Multisim12中仿真出來(lái)的波形圖。在誤差范圍之內(nèi),從圖中的數(shù)據(jù)可以計(jì)算得出,最后得到的的確是周期為1s的方波,也就是頻率為1Hz的方波。不僅如此,我們還可以從4060BD的6分頻輸出端O5得到一個(gè)頻率為512Hz和5分頻輸出端O4得到一個(gè)頻率為1024Hz的頻率輸出,以此來(lái)作為蜂鳴器報(bào)時(shí)的驅(qū)動(dòng)信號(hào)。</p><p>  2.十、二十四和六

26、十進(jìn)制計(jì)數(shù)器電路</p><p>  這一部分均使用中規(guī)模的集成電路74LS160來(lái)實(shí)現(xiàn)日、時(shí)、分、秒的計(jì)數(shù),其中日是十進(jìn)制計(jì)數(shù),小時(shí)是二十四進(jìn)制計(jì)數(shù),分和秒都是60進(jìn)制計(jì)數(shù)。不難想象秒和分兩組計(jì)數(shù)器完全相同。欲得到十進(jìn)制的計(jì)數(shù)器并不難,設(shè)計(jì)選用的就是是十進(jìn)制加法計(jì)數(shù)器74LS160,想要利用這個(gè)十進(jìn)制加法計(jì)數(shù)器得到六十進(jìn)制和二十四進(jìn)制的加法計(jì)數(shù)器,前面已經(jīng)講過(guò)對(duì)于74LS160而言可以用置數(shù)法和清零法,而本設(shè)計(jì)

27、所選擇的是清零法進(jìn)行進(jìn)制的設(shè)計(jì)。</p><p>  在構(gòu)成二十四進(jìn)制和六十進(jìn)制之前我們可以先將2個(gè)十進(jìn)制加法計(jì)數(shù)器級(jí)聯(lián)構(gòu)成100進(jìn)制計(jì)數(shù)器,它會(huì)循環(huán)從00開(kāi)始計(jì)數(shù)到99。</p><p>  時(shí)是二十四進(jìn)制,對(duì)于構(gòu)成二十四進(jìn)制我們想法使它只00到23計(jì)數(shù),當(dāng)它剛好達(dá)到24時(shí),我們立即利用清零端將它置零。將十位和個(gè)位分別化為二進(jìn)制數(shù)我們不難發(fā)現(xiàn)只要將位于十位的十進(jìn)制的QB和將位于個(gè)位的十進(jìn)

28、制的QC通過(guò)一個(gè)與非門(mén)連接起來(lái),然后將輸出端和置零段連接起來(lái),這樣子一旦計(jì)數(shù)到24時(shí)就變?yōu)?0,也就實(shí)現(xiàn)了時(shí)的計(jì)數(shù)顯示。其仿真電路如圖10。</p><p>  對(duì)于構(gòu)成六十進(jìn)制我們想法使它只00到59計(jì)數(shù),當(dāng)它剛好達(dá)到60時(shí),我們立即利用清零端將它置零,這時(shí)只有位于十位的計(jì)數(shù)器的QB、QC為高電位,我們可以用與非門(mén)將其連接起來(lái)再將輸出端和清零端連接起來(lái),從而就可實(shí)現(xiàn)六十進(jìn)制計(jì)數(shù)顯示。如圖11所示。</p&

29、gt;<p><b>  3.譯碼顯示電路</b></p><p>  譯碼電路的功能是將“秒”、“分”、“時(shí)”計(jì)數(shù)器的輸出代碼進(jìn)行翻譯,變成相應(yīng)的數(shù)字。在時(shí)鐘電路中采用了驅(qū)動(dòng)LED七段數(shù)碼管的譯碼器常用的74LS48。74LS48是LED段顯示器的譯碼器驅(qū)動(dòng)器,其輸出是OC門(mén)輸出且高電平有效,專(zhuān)用于驅(qū)動(dòng)LED七段共陰極顯示數(shù)碼管。若將“秒”、“分”、“時(shí)”計(jì)數(shù)器的每位輸出分別

30、接到相應(yīng)七段譯碼器的輸入端,便可進(jìn)行不同數(shù)字的顯示。</p><p><b>  4.單次和連續(xù)脈沖</b></p><p>  這部分主要是供手動(dòng)校正時(shí)間用。若開(kāi)關(guān)打在單次端,要調(diào)整日、時(shí)、分秒即可按單次脈沖進(jìn)行校正。如果要調(diào)整的時(shí)間比較大,這個(gè)時(shí)候可以選擇接入連續(xù)脈沖來(lái)快速地進(jìn)行時(shí)間的校正。而連續(xù)脈沖是通過(guò)555定時(shí)器組成的多諧振蕩器產(chǎn)生的,由圖計(jì)算可知,產(chǎn)生的頻

31、率f=1/ln2(30+2*120)C=53.43Hz,再經(jīng)過(guò)</p><p>  74LS161的16分頻之后為3.34Hz,而仿真的結(jié)果如圖12所示。實(shí)際仿真輸出頻率也為3.34Hz左右。</p><p><b>  5.整點(diǎn)報(bào)時(shí)</b></p><p>  圖中譯碼電路實(shí)現(xiàn)的功能是當(dāng)計(jì)數(shù)到整點(diǎn)前的前6s,此時(shí)準(zhǔn)備報(bào)時(shí)。當(dāng)分計(jì)到59分時(shí),將

32、分觸發(fā)器QH端置1,而等到秒計(jì)數(shù)到54s時(shí),將秒觸發(fā)器QL置1,然后通過(guò)QL與QH相與之后再和1s標(biāo)準(zhǔn)信號(hào)相與而去控制低頻率使蜂鳴器鳴叫,直至59s時(shí),產(chǎn)生一個(gè)復(fù)位信號(hào),使QL清0,停止低音鳴叫,同時(shí)59s信號(hào)的反相又和QH相與后去控制高頻率使蜂鳴器鳴叫。當(dāng)計(jì)到分秒從59:59——00:00時(shí),鳴叫結(jié)束,完成整點(diǎn)報(bào)時(shí)。</p><p><b>  6.鳴叫電路</b></p>

33、<p>  鳴叫電路由高、低兩種頻率通過(guò)或門(mén)去驅(qū)動(dòng)一個(gè)三極管,帶動(dòng)喇叭鳴叫。其中1kHz和500Hz分別從晶振分頻器得到。4060BD的6分頻輸出端O5得到一個(gè)頻率為512Hz和5分頻輸出端O4得到一個(gè)頻率為1024Hz的頻率輸出,以此來(lái)驅(qū)動(dòng)蜂鳴器的鳴叫。</p><p><b>  五﹑總結(jié)與心得</b></p><p>  通過(guò)這次課程設(shè)計(jì),加強(qiáng)了我動(dòng)手

34、、思考和解決問(wèn)題的能力。在整個(gè)設(shè)計(jì)過(guò)程中,我設(shè)計(jì)了一套數(shù)字電子時(shí)鐘的電路工作原理。在這個(gè)過(guò)程中我使用了很多芯片進(jìn)行仿真,這使得我學(xué)到了很多知識(shí)。</p><p>  在此次的數(shù)字鐘設(shè)計(jì)過(guò)程中,不僅更進(jìn)一步地熟悉了芯片的結(jié)構(gòu)及掌握了各芯片的工作原理和其具體的使用方法。在設(shè)計(jì)中用的芯片可能與平時(shí)常見(jiàn)的不一樣,但原理一樣,同時(shí)我還理解到,同樣功能可以由不同的芯片實(shí)現(xiàn),需遵行簡(jiǎn)單,經(jīng)濟(jì)的原則,從而最大程度符合目標(biāo)設(shè)計(jì)。&

35、lt;/p><p>  在設(shè)計(jì)過(guò)程中,經(jīng)常會(huì)遇到這樣那樣的情況,就是心里想老著這樣的接法可以行得通,但實(shí)際接上電路,總是實(shí)現(xiàn)不了,因此耗費(fèi)在這上面的時(shí)間用去很多的時(shí)間。課程設(shè)計(jì)是一次難得的鍛煉機(jī)會(huì),讓我們能夠充分利用所學(xué)過(guò)的理論知識(shí)還有自己的想象的能力,另外還讓我們學(xué)習(xí)查找資料的方法,以及自己處理分析電路,設(shè)計(jì)電路的能力。這些對(duì)我來(lái)說(shuō)都是一個(gè)很好的提高。</p><p>  通過(guò)這次課程設(shè)計(jì),

36、我還更加深了理論知識(shí)的學(xué)習(xí),補(bǔ)足平日理論學(xué)習(xí)后實(shí)踐方面的空白。這次的設(shè)計(jì)電路我用到了計(jì)數(shù)器、譯碼器等,通過(guò)自己分析和設(shè)計(jì)更好地運(yùn)用了它們,而且還學(xué)會(huì)了它們更多的功能。數(shù)電中學(xué)到很多知識(shí)都用到了,這使我把數(shù)字電子線(xiàn)路給很好地鞏固了一遍。我趁著做課程設(shè)計(jì)同時(shí)也是對(duì)課本知識(shí)的鞏固和加強(qiáng),由于課本上的知識(shí)太多,平時(shí)課間的學(xué)習(xí)并不能很好的理解和運(yùn)用各個(gè)元件的功能,而且考試內(nèi)容有限,所以在這次課程設(shè)計(jì)過(guò)程中,我們了解了很多元件的功能,并且對(duì)于其在電

37、路中的使用有了更多的認(rèn)識(shí)。另外還學(xué)習(xí)到了一些仿真軟件,比如Proteus等學(xué)習(xí)軟件,給設(shè)計(jì)提供了很大的便利。</p><p>  平時(shí)看課本時(shí),有時(shí)問(wèn)題老是弄不懂,做完課程設(shè)計(jì),那些問(wèn)題就迎刃而解了。而且還可以記住很多東西。比如一些芯片的功能,平時(shí)看課本,這次看了,下次就忘了,通過(guò)動(dòng)手實(shí)踐讓我們對(duì)各個(gè)元件映象深刻。認(rèn)識(shí)來(lái)源于實(shí)踐,實(shí)踐是認(rèn)識(shí)的動(dòng)力和最終目的,實(shí)踐是檢驗(yàn)真理的唯一標(biāo)準(zhǔn)。所以這個(gè)期末測(cè)試之后的課程設(shè)計(jì)

38、對(duì)我的作用和幫助的是非常大的。同時(shí),這次課設(shè)還讓我明白,困難是成功的臺(tái)階,只有一級(jí)級(jí)走上去才能有所收獲。工科院校的學(xué)生應(yīng)當(dāng)這樣多參與實(shí)踐,多去運(yùn)用自己所學(xué)的知識(shí),為將來(lái)工作打下基礎(chǔ)。</p><p><b>  六、致謝</b></p><p>  從課題的開(kāi)始到最終的完成,本課程設(shè)計(jì)及報(bào)告論文是在指導(dǎo)老師的精心指導(dǎo)和同學(xué)的熱心幫助下順利完成的。</p>

39、<p>  本課程設(shè)計(jì)能順利地完成,與父母多年來(lái)一如既往的支持和關(guān)懷是分不開(kāi)的,在此,向任勞任怨、含辛茹苦的父母致以衷心的感謝。</p><p>  此外衷心感謝在本次課程設(shè)計(jì)中指點(diǎn)和幫助我的指導(dǎo)老師與同學(xué)。</p><p>  感謝學(xué)院為我們提供了良好課程設(shè)計(jì)儀器設(shè)備及學(xué)習(xí)環(huán)境。</p><p><b>  六、附錄</b><

40、;/p><p><b>  附錄1:元件明細(xì)表</b></p><p><b>  表2 元件明細(xì)表</b></p><p><b>  附錄2:完整電路圖</b></p><p><b>  七、參考文獻(xiàn)</b></p><p> 

41、 [1]《實(shí)用電子電路手冊(cè)(數(shù)字電路分冊(cè))》.北京:高等教育出版社,1991</p><p>  [2]郁漢琪.數(shù)字電子技術(shù)實(shí)驗(yàn)及課題設(shè)計(jì). 北京:高等教育出版社,1995</p><p>  [3]譚炳煜主編.怎樣撰寫(xiě)科學(xué)論文.沈陽(yáng):遼寧人民出版社,1982</p><p>  [4]畢滿(mǎn)清.電子技術(shù)實(shí)驗(yàn)與課程設(shè)計(jì).北京:機(jī)械工業(yè)出版社,2005</p>

42、;<p>  [5]閻石主編.數(shù)字電子技術(shù)基礎(chǔ)(第五版).北京:高等教育出版社,2006</p><p>  [6]童詩(shī)白,華成英.模擬電子技術(shù)基礎(chǔ)(第四版). 北京:高等教育出版社,2006</p><p>  [7]侯建軍.電子技術(shù)基礎(chǔ)實(shí)驗(yàn)、綜合設(shè)計(jì)實(shí)驗(yàn)與課程設(shè)計(jì). 北京:高等教育出版社,2007</p><p>  [8]謝自美.電子線(xiàn)路設(shè)計(jì)&#

43、183;實(shí)驗(yàn)·測(cè)試(第二版)[M].武漢:華中科技大學(xué)出版社</p><p>  [9]彭介華.電子技術(shù)課程設(shè)計(jì)指導(dǎo)[M].北京:高等教育出版社</p><p>  [10]孫梅生,李美鶯,徐振英. 電子技術(shù)基礎(chǔ)課程設(shè)計(jì)[M]. 北京:高等教育出版社</p><p>  [11]張玉璞,李慶常. 電子技術(shù)課程設(shè)計(jì)[M]. 北京:北京理工大學(xué)出版社</

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論