2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩16頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

1、<p>  EDA技術課程設計報告</p><p><b>  目 錄</b></p><p>  課程設計的要求及目的……………………………………………2</p><p>  前言………………………………………………………………… 2</p><p>  一 設計內(nèi)容與目的…………………………………………

2、…… 2</p><p>  設計內(nèi)容………………………………………………… 3</p><p>  1.2 設計目的………………………………………………… 3</p><p>  二 方案設計……………………………………………………… 3</p><p>  2.1 設計思路…………………………………………………3</p>

3、<p>  2.2 設計總體框圖……………………………………………4</p><p>  2.3 狀態(tài)表……………………………………………………5</p><p>  2.4 電路原理圖………………………………………………5</p><p>  靜態(tài)顯示電路……………………………………………5</p><p>  三 功能電路

4、的設計……………………………………………… 5</p><p>  3.1 細化的設計總體框圖……………………………………5</p><p>  3.2 燈控制器電路設計………………………………………6</p><p>  3.3 計數(shù)器設計………………………………………………8</p><p>  3.4 顯示控制部分設計……………

5、…………………………12</p><p>  四 系統(tǒng)仿真圖……………………………………………………13</p><p>  五 設計心得會……………………………………………………14</p><p>  六 參考文獻………………………………………………………15</p><p>  交通信號燈控制器設計</p><p&g

6、t;  課程設計的要求及目的:</p><p>  1.了解電子設計的具體流程和方法。</p><p>  2. 掌握電子設計的基本要求,能夠運用所學的知識解決生活中的一些問題。</p><p>  3. 初步掌握VHDL語言編程,并設計出一個有意義的小型系統(tǒng)。</p><p>  4. 掌握MAX+plus Ⅱ軟件的應用,并且了解相關硬件的

7、組成和功能。</p><p>  5. 用EDA(Electronic Design Automation)或者原理圖完成一個課題的設計,并達到相應的功能要求。</p><p><b>  前言</b></p><p>  伴隨著社會的發(fā)展以及人類生活水平的提高,汽車的數(shù)量在不斷增加,交通事業(yè)得以蓬勃發(fā)展,而隨之引起的安全問題已經(jīng)不容忽視。ED

8、A技術的發(fā)展和應用領域也在不斷的擴大與深入,機械、電子、通信、航空航天、化工、礦產(chǎn)、生物、醫(yī)學、軍事等各個領域的重要性日益突出。眾所周知,隨著生活的進步,我們身邊的交通也日益繁忙,在眾多的十字交叉路口,為了確保車輛安全,迅速地通行,就必須在每個入口設置紅綠燈。本系統(tǒng)中設置了紅,綠,黃三色共三種信號燈。紅燈亮禁止一切該方向的行人和車輛通行,綠燈亮允許行人和車輛通行,黃燈亮則提示行駛中的車輛注意不要搶道,并讓它們有時間??康浇芯€之外或者加

9、快通過,同時提醒行人加快行進或者等待下一次綠燈。人行道燈亮時,允許行人通過。</p><p>  為了確保十字路口的行人和車輛順利,暢通的通過,往往采用電子控制的交通信號來進行指揮。利用EDA技術設計交通燈來完成這個需求就顯的更加迫切,同樣也是非常的實用和合理。本交通信號燈控制系統(tǒng)以十字路口為例講述設計的功能要求和設計的具體過程。</p><p>  一 設計內(nèi)容與目的: </p&

10、gt;<p><b>  1.1 設計內(nèi)容:</b></p><p>  用EDA設計一個簡單的交通燈控制器,具有如下功能:</p><p> ?。?)設計一個交通信號燈控制器,由一條主干道和一條支干道匯合成十字路口,在每個入口處設置紅、綠、黃三色信號燈,紅燈亮禁止通行,綠燈亮允許通行,黃燈亮則給行駛中的車輛有時間停在禁行線外。</p>&

11、lt;p>  (2)用紅、綠、黃發(fā)光二極管作信號燈,用傳感器或邏輯開關作檢測車輛是否到來的信號。</p><p>  (3)主干道處于常允許通行的狀態(tài),支干道有車來時才允許通行。主干道亮綠燈時,支干道亮紅燈;支干道亮綠燈時,主干道亮紅燈。</p><p>  主、支干道均有車時,兩者交替允許通行,主干道每次放行45秒,支干道每次放行25秒,設立45秒、25秒計時、顯示電路。</

12、p><p> ?。?)在每次由綠燈亮到紅燈亮的轉(zhuǎn)換過程中,要亮5秒黃燈作為過渡,使行駛中的車輛有時間停到禁行線外,設立5秒計時、顯示電路。</p><p><b>  1.2 設計目的:</b></p><p>  (1)掌握十字路口交通燈控制的設計原理,并能夠運用VHDL編程語言編寫出實驗程序,進一步對所學的EDA知識進行掌握與實際應用。<

13、/p><p> ?。?)學會在MAX+plus Ⅱ軟件環(huán)境中仿真,熟悉軟件的基本操作和運行環(huán)境。</p><p> ?。?)鍛煉自己獲取信息的能力,以及能夠獨立自主的思考和解決問題的能力。</p><p><b>  二 方案設計:</b></p><p><b>  2.1設計思路</b></

14、p><p>  主、支干道用傳感器檢測車輛到來情況,實驗電路用邏輯開關代替。</p><p>  45秒、25秒、5秒定時信號可用順計時,也可用倒計時,計時起始信號由主控電路給出,定時結(jié)束信號也輸入到主控電路,由主控電路啟、閉三色信號燈或啟動另一計時電路。</p><p>  主控電路是核心,這是一個時序電路,其輸入信號為:車輛檢測信號(A,B); 45秒、25秒、5秒

15、定時信號(C,D,E)。其狀態(tài)轉(zhuǎn)化圖如下所示:</p><p>  2.2 設計總體框圖:</p><p><b>  2.3狀態(tài)表</b></p><p>  2.4 電路原理圖:</p><p>  2.5 輸出顯示電路 : 靜態(tài)掃描電路。</p><p><b>  三 功能電路的

16、設計</b></p><p>  3.1細化的設計總體框圖</p><p>  根據(jù)設計要求和系統(tǒng)所具有功能,并參考相關的文獻資料經(jīng)行方案設計畫出如下所示的十字路口交通燈控制器系統(tǒng)框圖,及為設計的總體方案,框圖如下圖3.1所示</p><p>  3.2 燈控制器電路設計</p><p>  由一條主干道和一條支干道匯合成十字路口

17、,在每個入口處設置紅、綠、黃、左拐允許四盞信號燈,紅燈亮禁止通行,綠燈亮允許通行,黃燈亮則給行駛中的車輛有時間停在禁行線外,左拐燈亮允許車輛向左拐彎。信號燈變換次序為:主支干道交替允許通行,主干道每次放行40S,亮5S紅燈讓行駛中的車輛有時間停到禁行線外,左拐放行15秒,亮5S紅燈;支干道放行30S,亮5S黃燈,左拐放行15秒,亮5S紅燈,其中主支干道的紅黃綠燈表示如MR、MY、MG、BR、BY、BG。</p><p

18、><b>  程序如下:</b></p><p>  LIBRARY IEEE;</p><p>  USE IEEE.STD_LOGIC_1164.ALL;</p><p>  ENTITY JTDKZ IS</p><p>  PORT(CLK,SM,SB:IN STD_LOGIC;</p>&l

19、t;p>  MR,MY,MG,BR,BY,BG:OUT STD_LOGIC);</p><p>  END ENTITY JTDKZ;</p><p>  ARCHITECTURE ART OF JTDKZ IS</p><p>  TYPE STATE_TYPE IS(A,B,C,D);</p><p>  SIGNAL STATE:

20、STATE_TYPE;</p><p><b>  BEGIN</b></p><p>  CNT:PROCESS(CLK)IS</p><p>  VARIABLE S:INTEGER RANGE 0 TO 45;</p><p>  VARIABLE CLR,EN:BIT;</p><p>&

21、lt;b>  BEGIN</b></p><p>  IF(CLK'EVENT AND CLK='1') THEN</p><p>  IF CLR='0'THEN</p><p><b>  S:=0;</b></p><p>  ELSIF EN='0

22、'THEN</p><p><b>  S:=S;</b></p><p><b>  ELSE</b></p><p><b>  S:=S+1;</b></p><p><b>  END IF;</b></p><p>

23、;  CASE STATE IS</p><p>  WHEN A=>MR<='0';MY<='0';MG<='1';</p><p>  BR<='1';BY<='0';BG<='0';</p><p>  IF(SB AND

24、SM)='1'THEN</p><p>  IF S=45 THEN</p><p>  STATE<=B;CLR:='0';EN:='0';</p><p><b>  ELSE</b></p><p>  STATE<=A;CLR:='1';

25、EN:='1';</p><p><b>  END IF;</b></p><p>  ELSIF(SB AND (NOT SM))='1'THEN</p><p>  STATE<=B;CLR:='0';EN:='0';</p><p><b

26、>  ELSE</b></p><p>  STATE<=A;CLR:='1';EN:='1';</p><p><b>  END IF;</b></p><p>  WHEN B=>MR<='0';MY<='1';MG<='

27、;0';</p><p>  BR<='1';BY<='0';BG<='0';</p><p>  IF S=5 THEN</p><p>  STATE<=C;CLR:='0';EN:='0';</p><p><b>

28、  ELSE</b></p><p>  STATE<=B;CLR:='1';EN:='1';</p><p><b>  END IF;</b></p><p>  WHEN C=>MR<='1';MY<='0';MG<='0&#

29、39;;</p><p>  BR<='0';BY<='0';BG<='1';</p><p>  IF(SM AND SB)='1'THEN</p><p>  IF S=25 THEN</p><p>  STATE<=D;CLR:='0

30、9;;EN:='0';</p><p><b>  ELSE</b></p><p>  STATE<=C;CLR:='1';EN:='1';</p><p><b>  END IF;</b></p><p>  ELSIF SB='0

31、'THEN</p><p>  STATE<=D;CLR:='0';EN:='0';</p><p><b>  ELSE</b></p><p>  STATE<=C;CLR:='1';EN:='1';</p><p><b>

32、;  END IF;</b></p><p>  WHEN D=>MR<='1';MY<='0';MG<='0';</p><p>  BR<='0';BY<='1';BG<='0';</p><p>  IF S=5

33、 THEN</p><p>  STATE<=A;CLR:='0';EN:='0';</p><p><b>  ELSE</b></p><p>  STATE<=D;CLR:='1';EN:='1';</p><p><b>  E

34、ND IF;</b></p><p><b>  END CASE;</b></p><p><b>  END IF;</b></p><p>  END PROCESS CNT; </p><p>  END ARCHITECTURE ART; </p>&l

35、t;p>  3.3 計數(shù)器的設計</p><p>  根據(jù)路上狀況,設計各個顯示計時部分,包括45s、25s和5s,各部分采用順時計數(shù)方法。各模塊如下:</p><p><b>  程序如下:</b></p><p>  ----CNT45S.VHD</p><p>  LIBRARY IEEE;</p&g

36、t;<p>  USE IEEE.STD_LOGIC_1164.ALL;</p><p>  USE IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  ENTITY CNT45S IS</p><p><b>  PORT</b></p><p>  (SB,CLK,EN45:I

37、N STD_LOGIC;</p><p>  DOUT45M,DOUT45B:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));</p><p>  END ENTITY CNT45S;</p><p>  ARCHITECTURE ART OF CNT45S IS</p><p>  SIGNAL CNT6B:STD_LO

38、GIC_VECTOR(5 DOWNTO 0);</p><p><b>  BEGIN</b></p><p>  PROCESS(SB,CLK,EN45) IS</p><p><b>  BEGIN</b></p><p>  IF SB='0' THEN CNT6B<=C

39、NT6B-CNT6B-1;</p><p>  ELSIF(CLK'EVENT AND CLK='1')THEN</p><p>  IF EN45='1' THEN CNT6B<=CNT6B+1;</p><p>  ELSIF EN45='0' THEN CNT6B<=CNT6B-CNT6B-1

40、;</p><p><b>  END IF;</b></p><p><b>  END IF;</b></p><p>  END PROCESS;</p><p>  PROCESS(CNT6B)IS</p><p><b>  BEGIN</b>

41、</p><p>  CASE CNT6B IS</p><p>  WHEN"000000"=>DOUT45M<="01000101";DOUT45B<="01010000";</p><p>  WHEN"000001"=>DOUT45M<="

42、01000100";DOUT45B<="01001011";</p><p>  WHEN"000010"=>DOUT45M<="01000011";DOUT45B<="01001000";</p><p>  WHEN"000011"=>DOUT45

43、M<="01000010";DOUT45B<="01000111";</p><p>  WHEN"000100"=>DOUT45M<="01000001";DOUT45B<="01000110";</p><p>  WHEN"000101"

44、;=>DOUT45M<="01000000";DOUT45B<="01000101";</p><p>  WHEN"000110"=>DOUT45M<="00111001";DOUT45B<="01000100";</p><p>  WHEN"

45、;000111"=>DOUT45M<="00111000";DOUT45B<="01000011";</p><p>  WHEN"001000"=>DOUT45M<="00110111";DOUT45B<="01000010";</p><p>

46、;  WHEN"001001"=>DOUT45M<="00110110";DOUT45B<="01000001";</p><p>  WHEN"001010"=>DOUT45M<="00110101";DOUT45B<="01000000";</p&g

47、t;<p>  WHEN"001011"=>DOUT45M<="00110100";DOUT45B<="01101001";</p><p>  WHEN"001100"=>DOUT45M<="00110011";DOUT45B<="00111000&qu

48、ot;;</p><p>  WHEN"001101"=>DOUT45M<="00110010";DOUT45B<="00110111";</p><p>  WHEN"001110"=>DOUT45M<="00110001";DOUT45B<="

49、;00110110";</p><p>  WHEN"001111"=>DOUT45M<="00110000";DOUT45B<="00110101";</p><p>  WHEN"010000"=>DOUT45M<="00101001";DOUT4

50、5B<="00110100";</p><p>  WHEN"010001"=>DOUT45M<="00101000";DOUT45B<="00110011";</p><p>  WHEN"010010"=>DOUT45M<="00100111

51、";DOUT45B<="00110010";</p><p>  WHEN"010011"=>DOUT45M<="00100110";DOUT45B<="00110001";</p><p>  WHEN"010100"=>DOUT45M<=&q

52、uot;00100101";DOUT45B<="00110000";</p><p>  WHEN"010101"=>DOUT45M<="00100100";DOUT45B<="00101001";</p><p>  WHEN"010110"=>DO

53、UT45M<="00100011";DOUT45B<="00101000";</p><p>  WHEN"010111"=>DOUT45M<="00100010";DOUT45B<="00100111";</p><p>  WHEN"011000&

54、quot;=>DOUT45M<="00100001";DOUT45B<="00100110";</p><p>  WHEN"011001"=>DOUT45M<="00100000";DOUT45B<="00100101";</p><p>  WHEN&

55、quot;011010"=>DOUT45M<="00011001";DOUT45B<="00100100";</p><p>  WHEN"011011"=>DOUT45M<="00011000";DOUT45B<="00100011";</p><

56、p>  WHEN"011100"=>DOUT45M<="00010111";DOUT45B<="00100010";</p><p>  WHEN"011101"=>DOUT45M<="00010110";DOUT45B<="00100001";<

57、/p><p>  WHEN"011110"=>DOUT45M<="00010101";DOUT45B<="00100000";</p><p>  WHEN"011111"=>DOUT45M<="00010100";DOUT45B<="0001100

58、1";</p><p>  WHEN"100000"=>DOUT45M<="00010011";DOUT45B<="00011000";</p><p>  WHEN"100001"=>DOUT45M<="00010010";DOUT45B<=&

59、quot;00010111";</p><p>  WHEN"100010"=>DOUT45M<="00010001";DOUT45B<="00010110";</p><p>  WHEN"100011"=>DOUT45M<="00010000";D

60、OUT45B<="00010101";</p><p>  WHEN"100100"=>DOUT45M<="00001001";DOUT45B<="00010100";</p><p>  WHEN"100101"=>DOUT45M<="0000

61、1000";DOUT45B<="00010011";</p><p>  WHEN"100110"=>DOUT45M<="00000111";DOUT45B<="00010010";</p><p>  WHEN"100111"=>DOUT45M<

62、;="00000110";DOUT45B<="00010001";</p><p>  WHEN"101000"=>DOUT45M<="00000101";DOUT45B<="00010000";</p><p>  WHEN"101001"=&g

63、t;DOUT45M<="00000100";DOUT45B<="00001001";</p><p>  WHEN"101010"=>DOUT45M<="00000011";DOUT45B<="00001000";</p><p>  WHEN"101

64、011"=>DOUT45M<="00000010";DOUT45B<="00000111";</p><p>  WHEN"101100"=>DOUT45M<="00000001";DOUT45B<="00000110";</p><p>  W

65、HEN OTHERS=>DOUT45M<="00000000";DOUT45B<="00000000";</p><p><b>  END CASE;</b></p><p>  END PROCESS;</p><p>  END ARCHITECTURE ART;</p>

66、;<p>  --CNT25S.VHD</p><p>  LIBRARY IEEE;</p><p>  USE IEEE.STD_LOGIC_1164.ALL;</p><p>  USE IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  ENTITY CNT25S IS</p>&

67、lt;p>  PORT(SB,SM,CLK,EN25:IN STD_LOGIC;</p><p>  DOUT25M,DOUT25B:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));</p><p>  END ENTITY ;</p><p>  ARCHITECTURE ART OF CNT25S IS </p><

68、p>  SIGNAL CNT5B:STD_LOGIC_VECTOR(4 DOWNTO 0);</p><p><b>  BEGIN</b></p><p>  PROCESS (SB,SM,CLK,EN25) IS</p><p><b>  BEGIN</b></p><p>  IF S

69、B='0' OR SM='0'THEN</p><p>  CNT5B<=CNT5B-CNT5B-1;</p><p>  ELSIF(CLK'EVENT AND CLK='1')THEN</p><p>  IF EN25='1'THEN</p><p>  CN

70、T5B<=CNT5B+1;</p><p>  ELSIF EN25='0'THEN</p><p>  CNT5B<=CNT5B-CNT5B-1;</p><p><b>  END IF;</b></p><p><b>  END IF;</b></p>

71、<p>  END PROCESS;</p><p>  PROCESS(CNT5B)IS</p><p><b>  BEGIN </b></p><p>  CASE CNT5B IS</p><p>  WHEN "00000"=>DOUT25B<="0010

72、0101";DOUT25M<="00110000";</p><p>  WHEN "00001"=>DOUT25B<="00100100";DOUT25M<="00101001";</p><p>  WHEN "00010"=>DOUT25B<

73、;="00100011";DOUT25M<="00101000";</p><p>  WHEN "00011"=>DOUT25B<="00100010";DOUT25M<="00100111";</p><p>  WHEN "00100"=&g

74、t;DOUT25B<="00100001";DOUT25M<="00100110";</p><p>  WHEN "00101"=>DOUT25B<="00100000";DOUT25M<="00100101";</p><p>  WHEN "00

75、110"=>DOUT25B<="00011001";DOUT25M<="00100100";</p><p>  WHEN "00111"=>DOUT25B<="00011000";DOUT25M<="00100011";</p><p>  W

76、HEN "01000"=>DOUT25B<="00010111";DOUT25M<="00100010";</p><p>  WHEN "01001"=>DOUT25B<="00010110";DOUT25M<="00100001";</p>

77、<p>  WHEN "01010"=>DOUT25B<="00010101";DOUT25M<="00100000";</p><p>  WHEN "01011"=>DOUT25B<="00010100";DOUT25M<="00011001";

78、</p><p>  WHEN "01100"=>DOUT25B<="00010011";DOUT25M<="00011000";</p><p>  WHEN "01101"=>DOUT25B<="00010010";DOUT25M<="000

79、10111";</p><p>  WHEN "01110"=>DOUT25B<="00010001";DOUT25M<="00010110";</p><p>  WHEN "01111"=>DOUT25B<="00010000";DOUT25M&l

80、t;="00010101";</p><p>  WHEN "10000"=>DOUT25B<="00001001";DOUT25M<="00010100";</p><p>  WHEN "10001"=>DOUT25B<="00001001&quo

81、t;;DOUT25M<="00010100";</p><p>  WHEN "10010"=>DOUT25B<="00001000";DOUT25M<="00010011";</p><p>  WHEN "10011"=>DOUT25B<="

82、00000110";DOUT25M<="00010001";</p><p>  WHEN "10100"=>DOUT25B<="00000101";DOUT25M<="00010000";</p><p>  WHEN "10101"=>DOUT25

83、B<="00000100";DOUT25M<="00001001";</p><p>  WHEN "10110"=>DOUT25B<="00000011";DOUT25M<="00001000";</p><p>  WHEN "10111"

84、;=>DOUT25B<="00000010";DOUT25M<="00000111";</p><p>  WHEN "11000"=>DOUT25B<="00000001";DOUT25M<="00000110";</p><p>  WHEN OTHE

85、RS=>DOUT25B<="00000000";DOUT25M<="00000000";</p><p><b>  END CASE;</b></p><p>  END PROCESS;</p><p>  END ARCHITECTURE ART;</p><p

86、>  --CNT05S.VHD</p><p>  LIBRARY IEEE;</p><p>  USE IEEE.STD_LOGIC_1164.ALL;</p><p>  USE IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  ENTITY CNT05S IS</p><p>

87、<b>  PORT</b></p><p>  (CLK,EN05M,EN05B:IN STD_LOGIC;</p><p>  DOUT5:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));</p><p>  END ENTITY CNT05S;</p><p>  ARCHITECTURE AR

88、T OF CNT05S IS</p><p>  SIGNAL CNT3B:STD_LOGIC_VECTOR(2 DOWNTO 0);</p><p><b>  BEGIN</b></p><p>  PROCESS(CLK,EN05M,EN05B) IS</p><p><b>  BEGIN</b&

89、gt;</p><p>  IF(CLK'EVENT AND CLK='1')THEN</p><p>  IF EN05M='1' THEN CNT3B<=CNT3B+1;</p><p>  ELSIF EN05B='1' THEN CNT3B<=CNT3B+1;</p><

90、p>  ELSIF EN05B='0' THEN CNT3B<=CNT3B-CNT3B-1;</p><p><b>  END IF;</b></p><p><b>  END IF;</b></p><p>  END PROCESS;</p><p>  PROC

91、ESS(CNT3B)IS</p><p><b>  BEGIN</b></p><p>  CASE CNT3B IS</p><p>  WHEN"000"=>DOUT5<="00000101";</p><p>  WHEN"001"=>

92、DOUT5<="00000100";</p><p>  WHEN"010"=>DOUT5<="00000011";</p><p>  WHEN"011"=>DOUT5<="00000010";</p><p>  WHEN"

93、100"=>DOUT5<="00000001";</p><p>  WHEN OTHERS=>DOUT5<="00000000";</p><p><b>  END CASE;</b></p><p>  END PROCESS;</p><p&g

94、t;  END ARCHITECTURE ART;</p><p>  3.4 顯示控制部分設計</p><p>  根據(jù)從JZKZ部件中檢測到個路況,從而使不同路況顯示不同的計數(shù)時間,模塊如下:</p><p><b>  程序如下:</b></p><p>  --XSKZ.VHD</p><p&

95、gt;  LIBRARY IEEE;</p><p>  USE IEEE.STD_LOGIC_1164.ALL;</p><p>  USE IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  ENTITY XSKZ IS</p><p>  PORT(EN45,EN25,EN05M,EN05B:IN STD_LO

96、GIC;</p><p>  AIN45M,AIN45B:IN STD_LOGIC_VECTOR(7 DOWNTO 0);</p><p>  AIN25M,AIN25B,AIN05:IN STD_LOGIC_VECTOR(7 DOWNTO 0);</p><p>  DOUTM,DOUTB:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));<

97、;/p><p>  END ENTITY XSKZ;</p><p>  ARCHITECTURE ART OF XSKZ IS </p><p><b>  BEGIN</b></p><p>  PROCESS(EN45,EN25,EN05M,EN05B)IS</p><p><b> 

98、 BEGIN</b></p><p>  IF EN45='1'THEN</p><p>  DOUTM<=AIN45M(7 DOWNTO 0);DOUTB<=AIN45B(7 DOWNTO 0);</p><p>  ELSIF EN05M='1'THEN</p><p>  DOUT

99、M<=AIN05(7 DOWNTO 0);DOUTB<=AIN05(7 DOWNTO 0);</p><p>  ELSIF EN25='1'THEN</p><p>  DOUTM<=AIN25M(7 DOWNTO 0);DOUTB<=AIN25B(7 DOWNTO 0);</p><p>  ELSIF EN05B=

100、9;1'THEN</p><p>  DOUTM<=AIN05(7 DOWNTO 0);DOUTB<=AIN05(7 DOWNTO 0);</p><p><b>  END IF;</b></p><p>  END PROCESS;</p><p>  END ARCHITECTURE ART;&

101、lt;/p><p><b>  四 總體仿真波形</b></p><p>  圖4.1 JTDKZWZB.VHD的全局仿真結(jié)果</p><p>  圖4.2 JTDKZWZB.VHD的局部仿真結(jié)果</p><p>  從圖4.1和圖4.2可以看出,本設計符合設計初衷,完成看所要求的設計任務。</p><

102、p><b>  五 設計心得體會</b></p><p>  經(jīng)過為其兩周的課程設計,本人受益匪淺。這是我們自己大學三年以來自己第一次動手設計自己的東西,而不僅僅是停留在理論上。這一次的課程設計讓我懂得了理論的指導意義,同時也深深知道自己實際動手能力的缺乏,深深認識到加強自己的理論指導實踐的能力的緊迫性和重要性。</p><p>  選了交通燈控制這一小項目,

103、因為它很簡單,很容易的就用原理圖或者狀態(tài)機設計完成。這是我選擇它的最重要的原因。但是看是簡單的交通燈控制,卻讓我明白,要想靠自己成功的完成,還得老老實實的花大力氣去做。剛開始,由于MAX+plus Ⅱ這軟件對我來說完全陌生,不知道怎么操作,我花了幾乎三天的時間去熟悉它,但是還是不能很好的“駕馭”它,而VHDL語言雖然簡單,可是由于倉促上陣,一時間難以接受。在開始的兩天,完全不知從何下手,我?guī)缀跤悬c想放棄的感覺,盡管之前參加過課程設計的同

104、學都說很簡單,但是我覺得非也。</p><p>  接下來的幾天,實驗室,圖書館,舊書店開始出現(xiàn)了忙碌的身影,我拼命的找資料。有關EDA的和電子系統(tǒng)設計的書,我前后下來看了不少于6本,研究書中的有關例子,尋求設計思路和設計方法,方案,同時學習VHDL這門硬件描述語言,邊學邊做,仿佛自己在做一個驚天動地大項目,“廢寢忘食”。在進行課程設計這兩周,是我最忙的兩周,每天晚上幾乎都到奮戰(zhàn)到10點才回去,很冷,但是我還是堅

105、持下來了,盡管后面的項目做得不是很成功,但是我覺得我還是收獲了不少,至起碼我懂得了VHDL語言,學會了一些小系統(tǒng)的設計方法和設計思路。</p><p>  當寫顯示文件的程序時,也遇到了不少問題,特別是各元件之間的連接,以及信號的定義,總是有錯誤,在細心的檢查下,終于找出了錯誤和警告,排除困難后,程序編譯就通過了。再對控制模塊仿真時,雖然語法正確,但在實物調(diào)制方面仍遇到了問題,顯示譯碼管總顯示錯誤,后來在老師的指

106、導和同學的幫助下,我們才順利的解決了這個問題。</p><p>  其次,在進行引腳連接時一定要細心,有些引腳不能使用,我因為沒注意使得開始時一直不能得到正確的結(jié)果。這次EDA課程設計歷時兩個星期,在整整兩個星期的日子里,可以說是苦多于甜,但是可以學的到很多很多的東西,同時不僅可以鞏固以前所學過的知識,而且學到了很多在書本上所沒有學到過的知識,進一步加強合作能力。</p><p>  通過

107、這次課程設計使我懂得了理論與實際相結(jié)合是很重要的,只有理論知識是遠遠不夠的,只有把所學的理論知識與實踐相結(jié)合起來,從理論中得出結(jié)論,才能真正為社會服務,從而提高自己的實際動手能力和獨立思考的能力。在設計的過程中遇到的問題,反映出來我的許多不足之處,我以后要努力克服缺點。在進行每一個設計之前必須充分考慮各種情況,明白自己設計的目的和要求,然后圍繞著設計要求為中心,充分考慮設計的條件,為實現(xiàn)設計要求和設計目的找到最優(yōu)的方案,而不是沒有考慮清

108、楚就急著去做。這是我這次的切身體會,正所謂是看得遠看得清才不會摔跤。</p><p>  總的來說,這次設計的交通控制器還是比較成功的,在設計中遇到了很多問題,最后在同學和老師的辛勤的指導下外加上自己的努力,終于都得到了解決,因此很有成就感,終于覺得平時所學的知識有了實用的價值,達到了理論與實際相結(jié)合的目的。</p><p><b>  六 參考文獻</b><

109、/p><p>  [1] 譚會生,《EDA》,西安電子電子科技大學出版社,2004年</p><p>  [2] 譚會生、瞿遂春,EDA技術綜合應用實例與分析,西安電子科技大學, 2004</p><p>  [3] 閻石.數(shù)字電子技術基礎[M].北京市西城區(qū):高等教育出版社.2008年12月</p><p>  [4] 謝自美.電子線路設計實驗

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論