2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩23頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、<p><b>  課程設(shè)計(jì)說明書</b></p><p>  課程名稱: 電子課程設(shè)計(jì) </p><p>  設(shè)計(jì)題目: 彩燈控制器 </p><p>  班級: 測控111 </p><p

2、>  姓名: </p><p>  學(xué)號: </p><p>  指導(dǎo)老師: </p><p>  設(shè)計(jì)時(shí)間: 2013-7-8~~2013-7-17 </p><

3、;p><b>  摘要:</b></p><p>  近年來,由于集成電路的迅速發(fā)展,使得數(shù)字邏輯電路的設(shè)計(jì)發(fā)生了根本性的變化。在設(shè)計(jì)中更多的使用中規(guī)模集成電路,不僅可以減少電路組件的數(shù)目,使電路簡捷,而且能提高電路的可靠性,降低成本。因此用集成電路來實(shí)現(xiàn)更多更復(fù)雜的器件功能則成為必然。</p><p>  現(xiàn)代生活中,彩燈越來越成為人們的裝飾品,它不僅美化環(huán)境

4、,渲染氣氛,還可以用于娛樂場所和電子玩具中去,現(xiàn)以該課題為例進(jìn)行分析與設(shè)計(jì)可編程的彩燈控制的電路很多,構(gòu)成方式和采用的集成片種類、數(shù)目更是五花八門,而且有專門的可編程彩燈控制電路。絕大多數(shù)的彩燈控制電路都是數(shù)字電路來實(shí)現(xiàn),例如:用中規(guī)模集成電路實(shí)現(xiàn)的彩燈控制器主要用計(jì)時(shí)器、譯碼器、分配器和移位寄存器等集成。本次設(shè)計(jì)的可編程彩燈控制電路就是用計(jì)數(shù)器和譯碼器等來實(shí)現(xiàn)的。</p><p>  關(guān)鍵詞: 計(jì)數(shù)器;555定

5、時(shí)器;發(fā)光二極管</p><p>  1. Abstract</p><p>  In recent years, due to the rapid development circuits, make digital logic circuit design undergone a fundamental change.</p><p>  In the desi

6、gn more use scale integrated circuit, not only can reduce the number of circuit components, the circuit, and can improve the simplicity of the circuit reliability, reduce the cost. So with integrated circuit to achieve m

7、ore complex device function is inevitable.</p><p>  In modern life lantern has become more and more people adornment, it not only can beautify the environment, rendering atmosphere, it can also be used in en

8、tertainment and electronic toys, now with this topic for example analysis and design programmable lights control circuit many, the forms and integrated piece type, number, and multifarious has special programmable circul

9、ar lights control circuit. Most of the lights control circuit realized with the digital circuits, for example, use medium sca</p><p>  Key words: LED; Counter; ME555 </p><p>  目錄

10、 </p><p>  1.緒論·····························

11、··················1</p><p>  2.設(shè)計(jì)任務(wù)及要求·············&

12、#183;························2</p><p>  2.1設(shè)計(jì)目的······

13、3;····································&#

14、183;····2</p><p>  2.2設(shè)計(jì)任務(wù)···························

15、;·····················2</p><p>  2.3設(shè)計(jì)要求··········&#

16、183;····································

17、2</p><p>  3.總體方案設(shè)計(jì)·······························&#

18、183;········3</p><p>  3.1總體設(shè)計(jì)框圖······················

19、83;·····················3</p><p>  3.2 總體設(shè)計(jì)原理·········

20、83;·································3</p><p&

21、gt;  3.3 總體設(shè)計(jì)元件··································&

22、#183;········4</p><p>  4. 單元設(shè)計(jì)······················

23、3;·····················</p><p>  4.1脈沖信號源··········&

24、#183;···································</

25、p><p>  4.2 七進(jìn)制計(jì)數(shù)器·······················</p><p>  4.3 譯碼及邏輯電路···&#

26、183;····································

27、··</p><p>  5.仿真調(diào)試及結(jié)果·····························&#

28、183;·······</p><p>  5.1脈沖信號源的仿真·······················&#

29、183;···············</p><p>  5.2循環(huán)計(jì)數(shù)器的仿真···············&#

30、183;························</p><p>  5.3彩燈的輸出仿真······

31、83;···································</p&

32、gt;<p>  5.4調(diào)試與結(jié)果································

33、3;·········</p><p>  6.總結(jié)語······················

34、3;·······················</p><p>  參考文獻(xiàn)·········

35、;··································</p><

36、;p>  附錄···································

37、·············</p><p><b>  1.緒論</b></p><p>  節(jié)日彩燈使生活中常常用到的裝飾物品。它集中地運(yùn)用了集成電路、LED,自動(dòng)控制等技術(shù),是典型的基于單片機(jī)的電子產(chǎn)品。</p><

38、p>  隨著計(jì)算機(jī)、微電子、信息技術(shù)的快速進(jìn)步,智能化技術(shù)的開發(fā)速度越來越快 ,智能度越來越高 ,應(yīng)用范圍也得到了極大的擴(kuò)展。在海洋開發(fā)、宇宙探測、工農(nóng)業(yè)生產(chǎn)、軍事、社會(huì)服務(wù)、娛樂等各個(gè)領(lǐng)域。在娛樂方面,場地的裝飾離不開彩燈。在建筑方面也采用彩燈來裝飾高樓大廈。彩燈又靈活多變的點(diǎn)亮方式,裝飾效果非常好,特別時(shí)晚上使得高樓大廈更加漂亮。是彩燈的應(yīng)用才使得城市的夜景非常迷人?,F(xiàn)代生活中,彩燈越來越成為人們的裝飾品,它不僅美化環(huán)境,渲染

39、氣氛,還可以用于娛樂場所和電子玩具中去,現(xiàn)以該課題為例進(jìn)行分析與設(shè)計(jì)可編程的彩燈控制的電路很多,構(gòu)成方式和采用的集成片種類、數(shù)目更是五花八門,而且有專門的可編程彩燈控制電路。絕大多數(shù)的彩燈控制電路都是數(shù)字電路來實(shí)現(xiàn),例如:用中規(guī)模集成電路實(shí)現(xiàn)的彩燈控制器主要用計(jì)時(shí)器、譯碼器、分配器和移位寄存器等集成。</p><p>  本設(shè)計(jì)通過555產(chǎn)生的脈沖。再用74LS160對其進(jìn)行分頻,控制七種花型之間的切換。把74L

40、S160改成只從“0000”計(jì)數(shù)到“0110”計(jì)數(shù)器,用來產(chǎn)生花型初狀態(tài)。</p><p><b>  2.設(shè)計(jì)任務(wù)及要求</b></p><p><b>  2.1設(shè)計(jì)目的</b></p><p>  根據(jù)常用的電子技術(shù)知識,以及可獲得技術(shù)書籍與電子文檔,初步形成電子設(shè)計(jì)過程中收集、閱讀及應(yīng)用技術(shù)資料的能力;熟悉電子系統(tǒng)

41、設(shè)計(jì)的一般流程;掌握分析電路原理、工程計(jì)算及對主要技術(shù)性能進(jìn)行測試的常見方法;最終,完成從設(shè)計(jì)圖紙到實(shí)物搭建的整個(gè)過程,并調(diào)試作品。</p><p><b>  2.2設(shè)計(jì)任務(wù)</b></p><p>  在文藝及休閑娛樂場所,各種圖案的彩燈,若明若暗,相互映照,不僅增加了歡快的氣氛,且給人以美的享受。彩燈控制器就是將構(gòu)成一定圖案的彩燈按照人們的要求依照一定的規(guī)律周期

42、性的亮暗變化,利用人的視覺特性,形成多姿多彩的光學(xué)效果。彩燈控制器的原理框圖如圖1所示。脈沖信號源產(chǎn)生一定頻率的矩形波電壓信號,驅(qū)動(dòng)七進(jìn)制計(jì)數(shù)器的工作,最后通過組合邏輯電路把七進(jìn)制計(jì)數(shù)器的輸出信號變換為驅(qū)動(dòng)彩燈按一定的規(guī)律周期性或點(diǎn)亮或熄滅或閃爍的信號。</p><p><b>  2.3設(shè)計(jì)要求</b></p><p>  設(shè)計(jì)一個(gè)彩燈控制器,要求如下:</p

43、><p>  (1)由3只彩燈(發(fā)光二極管)組成圖案。</p><p>  (2)控制紅、綠、黃一組彩燈循環(huán)閃亮,變化的規(guī)律是:紅 → 紅綠 → 綠 → 黃綠 → 黃 → 全亮 → 全滅 → 紅。</p><p>  (3)可以調(diào)整閃亮速度的快慢。</p><p><b>  3.總體方案設(shè)計(jì)</b></p>

44、<p>  近年來,由于中,大規(guī)模集成電路的迅速發(fā)展,使得數(shù)字邏輯電路的設(shè)計(jì)發(fā)生了根本性的變化。在設(shè)計(jì)中更多的使用中。大規(guī)模集成電路,不僅可以減少電路組件的數(shù)目,使電路簡捷,而且能提高電路的可靠性,降低成本。因此,彩燈控制器總體方案設(shè)計(jì)如下:</p><p>  1.據(jù)總的功能和技術(shù)要求,把復(fù)雜的邏輯系統(tǒng)分解成若干個(gè)單元系統(tǒng),單元的數(shù)目不宜太多,每個(gè)單元也不能太復(fù)雜,以方便檢修。</p>

45、<p>  2.各個(gè)單元電路由標(biāo)準(zhǔn)集成電路來組成,選擇合適的集成電路及器件構(gòu)成單元電路。</p><p>  3.各個(gè)單元電路間的連接,所有單元電路在時(shí)序上應(yīng)協(xié)調(diào)一致,滿足工作需求,相互間電氣特性應(yīng)匹配,保證電路能正常,協(xié)調(diào)工作。</p><p><b>  3.1總體設(shè)計(jì)框圖</b></p><p>  設(shè)計(jì)任務(wù)中所要求的7種循環(huán)方

46、式并不復(fù)雜,用中小規(guī)模集成電路就能實(shí)現(xiàn)。本控制應(yīng)由脈沖信號源,七進(jìn)制計(jì)數(shù)器,基本邏輯電路和彩燈等組成,其框圖如圖1所示: </p><p><b>  圖1彩燈控制器框圖</b></p><p><b>  3.2總體設(shè)計(jì)原理</b></p><p>  該設(shè)計(jì)電路由脈沖信號源提供時(shí)間脈沖給七進(jìn)制計(jì)數(shù)器,再由七進(jìn)制計(jì)數(shù)器的

47、輸出經(jīng)過譯碼器和基本邏輯電路來實(shí)現(xiàn)彩燈的七種循環(huán)方式。其實(shí)現(xiàn)電路如下圖2所示:</p><p><b>  圖2 </b></p><p><b>  3.3總體設(shè)計(jì)元件</b></p><p>  3.3.1 555定時(shí)器</p><p>  555定時(shí)器是設(shè)計(jì)電路中脈沖信號源的核心原件。

48、它是一種集模擬、數(shù)字于一體的中規(guī)模集成電路,其應(yīng)用極為廣泛。它不僅用于信號的產(chǎn)生和變換,還常用于控制和檢測電路中。本設(shè)計(jì)電路就運(yùn)用了它的前一個(gè)特點(diǎn):信號的產(chǎn)生和變換。</p><p>  定時(shí)器有雙極型和COMS兩種類型的產(chǎn)品,它們的結(jié)構(gòu)及工作原理基本相同,沒有本質(zhì)區(qū)別。一般來說,雙極型定時(shí)器的驅(qū)動(dòng)能力較強(qiáng),電源電壓范圍為5V-16V,最大負(fù)載電流可達(dá)到200MA。而CMOS定時(shí)器的電源電壓范圍為3-18V,最大

49、負(fù)載電流在4MA以下,它具有功耗低、輸入阻抗高等優(yōu)點(diǎn)。</p><p><b>  1.電路結(jié)構(gòu)</b></p><p>  555定時(shí)器的內(nèi)部電路由分壓器、電壓比較器C1和C2、簡單SR鎖存器、放電三極管T以及緩沖器G組成,其外內(nèi)部結(jié)構(gòu)圖如下圖3圖4所示。</p><p>  圖3 。555定時(shí)器內(nèi)部圖</p><p>

50、;  圖4. 555定時(shí)器外部引腳圖</p><p><b>  2.工作原理</b></p><p>  電壓比較器的參考電壓由三只5KΩ的電阻器構(gòu)成分壓,它們分別使高電平比較器A1同相比較端和低電平比較器A2的反相輸入端的參考電平為和。A1和A2的輸出端控制RS觸發(fā)器狀態(tài)和放電管開關(guān)狀態(tài)。當(dāng)輸入信號輸入并超過Vcc/3時(shí),觸發(fā)器復(fù)位,555的輸出端3腳輸出低電平,

51、同時(shí)放電,開關(guān)管導(dǎo)通;當(dāng)輸入信號自2腳輸入并低于2Vcc/3時(shí),觸發(fā)器置位,555的3腳輸出高電平,同時(shí)放電,開關(guān)管截止。 是復(fù)位端,當(dāng)其為0時(shí),555輸出低電平。平時(shí)該端開路或接VCC。 Vc是控制電壓端(5腳),平時(shí)輸出作為比較器A1的參考電平,當(dāng)5腳外接一個(gè)輸入電壓,即改變了比較器的參考電平,從而實(shí)現(xiàn)對輸出的另一種控制,在不接外加電壓時(shí),通常接一個(gè)0.01uf的電容器到地,起濾波作用,以消除外來的干擾,

52、以確保參考電平的穩(wěn)定。 T為放電管,當(dāng)T導(dǎo)通時(shí),將給接于腳7的電容器提供低阻放電電路。</p><p><b>  3.電路功能</b></p><p>  綜上所述,可得555定時(shí)器功能表,如下表1所示:</p><p>  4.555定時(shí)器的應(yīng)用</p><p> ?。?).構(gòu)成施密特觸發(fā)器,用于TT

53、L系統(tǒng)的接口,整形電路等。</p><p> ?。?).構(gòu)成多諧振蕩器,組成信號產(chǎn)生電路。</p><p> ?。?).構(gòu)成單穩(wěn)態(tài)觸發(fā)器,用于定時(shí)延時(shí)整形等。</p><p>  555定時(shí)器應(yīng)用電路采用這3種方式中的一種或多種組合起來可以組成各種實(shí)用的電子電路,如:定時(shí)器、分頻器、脈沖信號發(fā)生器、元件參數(shù)和電路檢測電路、自動(dòng)控制電路和頻率變換電路等。本設(shè)計(jì)應(yīng)用的就

54、是555定時(shí)器構(gòu)成多諧振蕩器來產(chǎn)生脈沖信號發(fā)生器。</p><p>  3.3.2 計(jì)數(shù)器芯片74LS160</p><p>  計(jì)數(shù)器是最常用的時(shí)序電路之一,它們不僅可用于對脈沖進(jìn)行計(jì)數(shù),還可用于分頻、定時(shí)、產(chǎn)生節(jié)拍脈沖以及其他時(shí)序信號。計(jì)數(shù)器的種類不勝枚舉,按觸發(fā)器動(dòng)作分類,可分為同步計(jì)數(shù)器和異步計(jì)數(shù)器;按計(jì)數(shù)數(shù)值增減分類,可分為加計(jì)時(shí)器、減計(jì)數(shù)器和可逆計(jì)數(shù)器;按編碼分類,又可分為二進(jìn)

55、制碼計(jì)數(shù)器、BCD碼計(jì)數(shù)器、循環(huán)碼計(jì)數(shù)器。</p><p>  本設(shè)計(jì)使用的是計(jì)數(shù)器74LS160。它是一種典型的高性能、低功耗COMS 4 位同步二進(jìn)制加計(jì)數(shù)器,它可在 1.2 ~ 3.6 V 電源電壓范圍內(nèi)工作,其所有邏輯輸入端都可耐受高達(dá)5.5 V的電壓,因此,在電源電壓為 3.3 V 時(shí)可直接與5 V 供電的TTL 邏輯電路接口。它的工作速度很高,從輸入時(shí)鐘脈沖CP上升沿到Q n 輸出的典型延時(shí)時(shí)間僅

56、3.9 ns ,</p><p>  最高時(shí)鐘工作頻率可達(dá)200 MHz 。</p><p><b>  1.電路結(jié)構(gòu)</b></p><p>  下圖6所示是74LS160的內(nèi)部邏輯圖,除了同步二進(jìn)制計(jì)數(shù)功能外,電路還具有并行數(shù)據(jù)的同步預(yù)置功能。芯片74LS160的外部引腳圖也如下圖5所示。</p><p>  圖5

57、74LS160引腳圖</p><p>  圖6 74LS160內(nèi)部結(jié)構(gòu)圖</p><p><b>  2.工作原理</b></p><p>  當(dāng)= 0 時(shí)為并行數(shù)據(jù)預(yù)置操作,每個(gè)數(shù)據(jù)選擇器左邊的與門打開,于是,D3 – D0 到達(dá)相應(yīng)的觸發(fā)器的輸入端,當(dāng)CP 脈沖沿到達(dá)時(shí),該組數(shù)據(jù)進(jìn)入觸發(fā)器而實(shí)現(xiàn)同步預(yù)置;當(dāng)= 1 時(shí),右邊的與門打開,各D

58、觸發(fā)器與相應(yīng)的同或門實(shí)現(xiàn)觸發(fā)器,接受同步計(jì)數(shù)的控制信號,其工作原理與上內(nèi)部圖電路相同。</p><p><b>  3.電路功能</b></p><p>  下面對照邏輯圖和功能表,說明它工作時(shí)各引線端的功能和操作。</p><p>  時(shí)鐘脈沖CP 是計(jì)數(shù)脈沖輸入端,也是芯片內(nèi)4個(gè)觸發(fā)器的公共時(shí)鐘輸入端。</p><p&g

59、t;  異步清零 =1 時(shí)各輸入信號起作用。</p><p>  并行置數(shù)使能 置數(shù)控制端。計(jì)數(shù)和保持操作都要求= 1 。</p><p><b>  數(shù)據(jù)輸入端Dn </b></p><p>  計(jì)數(shù)使能CEP 主要控制本芯片的計(jì)數(shù)操作。</p><p>  計(jì)數(shù)使能端CET 該信號和CEP做與運(yùn)算后實(shí)現(xiàn)對本芯片的控

60、制。</p><p>  進(jìn)位信號TC 只有當(dāng)CET = 1 且Q3 Q2 Q1 Q0 = 1111時(shí),TC才為 1,表明下一個(gè)時(shí)鐘脈沖上升沿到來將會(huì)有進(jìn)位發(fā)生。</p><p>  綜合上述功能可以得到74LS160的典型時(shí)序圖7,如下圖所示。當(dāng)清零信號= 0時(shí),各觸發(fā)器置0.當(dāng)= 1時(shí),若= 0,在下一個(gè)時(shí)鐘脈沖上升沿到來后,各觸發(fā)器的輸出狀態(tài) 與預(yù)置的輸入數(shù)據(jù)相同。在= = 1的條

61、件下,若CET = CEP = 1,則電路處于計(jì)數(shù)狀態(tài)。圖中從預(yù)置的1100 開始計(jì)數(shù),直到CET ·CEP = 0,計(jì)數(shù)狀態(tài)結(jié)束。此后處于禁止計(jì)數(shù)的保持狀態(tài):Q3 Q2 Q1 Q0 = 0010。</p><p>  圖7 74LS160的典型時(shí)序圖</p><p>  3.3.3 譯碼器芯片74LS138</p><p>  譯碼器可分為兩種類型,

62、一種是將一系列代碼轉(zhuǎn)換成與之一一對應(yīng)的有效信號。這種譯碼器可稱為唯一地址譯碼器,它常用于計(jì)算機(jī)中對存儲(chǔ)器單元地址譯碼,即將每一個(gè)地址代碼轉(zhuǎn)換成另一個(gè)有效信號,從而選中相應(yīng)的單元。另一種是將一種代碼轉(zhuǎn)換成另一種代碼,所以也稱為代碼轉(zhuǎn)換器。本設(shè)計(jì)使用的74LS138屬于二進(jìn)制唯一地址譯碼器。</p><p><b>  1.電路結(jié)構(gòu)</b></p><p>  芯片74L

63、S138的外部引腳圖和內(nèi)部原理如下圖8所示。</p><p><b>  圖8</b></p><p><b>  2.電路功能</b></p><p>  該譯碼器有3位二進(jìn)制輸入A2、A1、A0,它們共有8種狀態(tài)組合,即可譯出8個(gè)輸出信號—,輸出為低電平有效。由功能表如下圖,有功能表可得</p><

64、p><b>  功能表:</b></p><p>  4.74LS138的應(yīng)用</p><p>  利用3線 - 8線譯碼器可以構(gòu)成4線 - 16線、5線 – 32線或 6線 – 64 線譯碼器。</p><p>  3.3.4 芯片74LS00 、74LS10、74LS20</p><p>  74LS00 二

65、輸入端四與非門 邏輯表達(dá)式 </p><p>  74LS10 三輸入端三與非門 邏輯表達(dá)式 </p><p>  74LS20 四輸入端二與非門 邏輯表達(dá)式 </p><p><b>  引腳排列圖9如下:</b></p><p><b>  圖9 芯片引腳圖</b></p>

66、<p><b>  4.單元設(shè)計(jì)</b></p><p><b>  4.1脈沖信號源</b></p><p>  脈沖信號是一種離散信號,形狀多種多樣,與普通模擬信號(如正弦波)相比,波形之間在時(shí)間軸不連續(xù)(波形與波形之間有明顯的間隔)但具有一定的周期性是它的特點(diǎn)。最常見的脈沖波是矩形波(也就是方波)。脈沖信號可以用來表示信息,也可以

67、用來作為載波,還可以作為各種數(shù)字電路、高性能芯片的時(shí)鐘信號。</p><p>  現(xiàn)實(shí)生活中產(chǎn)生脈沖信號有多種方式,比如:脈沖信號發(fā)生器,單穩(wěn)態(tài)觸發(fā)器,多諧振蕩器,555定時(shí)器等。由于555定時(shí)器內(nèi)部的比較器靈敏度高、驅(qū)動(dòng)電流大、功能靈活,而且采用差分方式,用555定時(shí)器組成多諧振蕩器的振蕩頻率受電源電壓和溫度變化影響很小。因此,本設(shè)計(jì)使用的是555定時(shí)器組成多諧振蕩器。</p><p>

68、  4.4.1 工作原理</p><p>  用555定時(shí)器組成多諧振蕩器如下圖10所示。接通電源后,電容C被充電,當(dāng)Vc上升到時(shí),使V0為低電平,同時(shí)放電三極管T導(dǎo)通,此時(shí)電容C通過R2和T 放電,Vc 下降。</p><p>  當(dāng)Vc 下降到 時(shí),V0 翻轉(zhuǎn)為高電平。電容器C放電所需時(shí)間為: = R2CIn2</p><p>  當(dāng)放電結(jié)束時(shí),T截止,Vcc

69、將通過R1、R2向電容C充電,Vc由上升到所需時(shí)間為:=(R1+ R2)CIn20.7(R1+R2)C</p><p>  當(dāng)Vc上升到時(shí),電路又翻轉(zhuǎn)為低電平。如此周而復(fù)始,于是,在電路的輸出端就得到一個(gè)周期性的矩形波。電路工作波形如圖所示。</p><p>  其振蕩頻率為;f=1/(+)1.43/(R1+2R2)C</p><p>  圖10 555定時(shí)器組成的

70、多諧振蕩電路及波形</p><p>  如果要實(shí)現(xiàn)占空比可調(diào),最常用的的如圖11.由于電路中二極管D1,D2單向?qū)щ娞匦?,使電容器的充放電回路分開,調(diào)節(jié)電位器,就可調(diào)節(jié)多諧振蕩器的占空比。圖中,Vcc 通過Ra、D1向C充電,充電時(shí)間為:</p><p><b>  0.7RaC</b></p><p>  電容器C通過D2、Rb 及 555中

71、的三極管T放電,放電時(shí)間為:</p><p><b>  0.7RbC</b></p><p>  電路輸出的波形占空比為:q(%)=Ra*100% /(Ra + Rb)</p><p>  圖11 占空比可調(diào)的方波發(fā)生器</p><p>  4.2 七進(jìn)制計(jì)數(shù)器</p><p>  圖12 本設(shè)

72、計(jì)電路中的七進(jìn)制計(jì)數(shù)器</p><p>  芯片74LS160的CP端接入由555定時(shí)器組成多諧振蕩器的輸出端,由此來接收脈沖信號。</p><p>  計(jì)數(shù)器從Q3Q2Q1Q0=0000開始計(jì)數(shù),當(dāng)?shù)?個(gè)CP到達(dá)后,計(jì)到0110,此時(shí)==0。并不能立即清零,而是要等第7個(gè)脈沖上沿到來后,計(jì)數(shù)器被置成0000,狀態(tài)圖(圖13)如下,從而才能實(shí)現(xiàn)彩燈七種變化,根據(jù)彩燈輸出順序 000到110

73、依次為全滅、紅、紅綠、綠、黃綠、黃、全亮。</p><p>  圖13 七進(jìn)制狀態(tài)圖</p><p>  4.3 譯碼及邏輯電路</p><p><b>  圖14</b></p><p>  圖14為本設(shè)計(jì)譯碼及邏輯電路部分。</p><p>  譯碼器74LS138的輸入端A、B、C接上單元的

74、74LS160的輸出端,對上單元的輸出000~110數(shù)據(jù)進(jìn)行譯碼,再由輸出端—通過簡單邏輯電路三輸入與非門和四輸入與非門來控制彩燈的明暗。</p><p>  根據(jù)電路圖14,可以得到:</p><p>  因此可根據(jù)以上得出,當(dāng)給譯碼器連續(xù)輸入二進(jìn)制代碼時(shí),對應(yīng)的紅亮暗情況</p><p>  由此可得:紅黃綠各燈的邏輯表達(dá)式為:</p><p

75、><b>  5.仿真調(diào)試及結(jié)果</b></p><p>  5.1脈沖信號源的仿真</p><p>  使滑動(dòng)變阻器的阻值為0,給方波發(fā)生電路接通電源,并連接到示波器上。測得平均的頻率f≈25Hz。改變滑動(dòng)變阻器的阻值時(shí),可觀察得,電路的頻率發(fā)生變化,但是波形形狀始終不變,占空比為50%。</p><p>  圖15. 脈沖信號發(fā)生器波

76、形圖</p><p>  5.2循環(huán)計(jì)數(shù)器的仿真</p><p>  圖15. 循環(huán)計(jì)數(shù)器仿真波形</p><p>  5.3彩燈的輸出仿真</p><p>  圖16.彩燈仿真波形</p><p><b>  5.4調(diào)試與結(jié)果</b></p><p>  檢查電路圖無誤后

77、,可接通電源以模擬循環(huán)過程。由于電源接通瞬間,電容C1,C2,相當(dāng)與短路,使D觸發(fā)器直接置零端由效,74LS160的置數(shù)端PL有效,74LS160置數(shù)“0000”計(jì)數(shù)器遞增計(jì)數(shù),。只要紅黃綠等全亮,從而使計(jì)數(shù)器置零,發(fā)光二極管燈亮順序?yàn)槿珳?、紅、紅綠、綠、黃綠、黃、全亮的規(guī)律變化。</p><p>  改變電位器的接入電阻,觀察燈變化的速度。接入電阻增大時(shí),燈變化的速度應(yīng)加快。但由于本設(shè)計(jì)所給電位器不夠大,調(diào)節(jié)電

78、位器現(xiàn)象不夠明顯,可以考慮在電位器兩端各串聯(lián)49kΩ的電阻,這樣調(diào)節(jié)電位器,燈變化的速度才明顯觀察出來。</p><p>  在電路組裝過程中,遇到的最大問題是,當(dāng)時(shí)設(shè)計(jì)時(shí)考慮不周全,芯片分布不夠合理,出現(xiàn)了許多“特長線”。不但影響布線速度,而且也會(huì)給后來的調(diào)試帶來不必要的麻煩。當(dāng)時(shí)已經(jīng)布線不少,不可能重新開始,再三權(quán)衡,最后只移動(dòng)了一個(gè)芯片,問題就得到了很大改善。其次就是布線,因?yàn)橐蟛粶?zhǔn)交叉,且橫平豎直,所以

79、在保證連通的情況下,在布線上也下了不少工夫。調(diào)試過程中,第一輪用萬用表歐姆檔測試,就遇了實(shí)驗(yàn)板上有插孔不通的情況,導(dǎo)致芯片不能正常工作。相對于別的辦法,我選擇了導(dǎo)線顯式連通,因?yàn)槠涓魑?,更易?shí)現(xiàn)。對于高阻導(dǎo)線則只能換掉。第二輪接電后,用萬用表的電壓檔測試單元電路的狀態(tài)。如:時(shí)鐘信號電路的信號是否正常產(chǎn)生,控制信號電路中的計(jì)數(shù)器能否正常計(jì)數(shù),最后在整體上測試一遍。</p><p>  在整個(gè)調(diào)試完成后,卻遇到的新

80、問題:彩燈演示時(shí)有時(shí)正常有時(shí)混亂。在排除其它可能的情況下,我仔細(xì)檢查各端子的連接情況,發(fā)現(xiàn)清“0”端在清“0”后懸空了。將其插到電源正極后,發(fā)現(xiàn)問題解決了。</p><p><b>  總結(jié)語</b></p><p>  課程設(shè)計(jì)剛開始,拿著選定的題目不知如何入手。畢竟課程設(shè)計(jì)不同于實(shí)驗(yàn)課,電路圖都要自己設(shè)計(jì)。靜下心來,仔細(xì)分析題目,再加上指導(dǎo)老師的說明與提示,心中才

81、有了譜。將整個(gè)系統(tǒng)根據(jù)不同的功能化分成模塊,再分別進(jìn)行設(shè)計(jì),逐個(gè)攻破,最后再將其整合即可。</p><p>  在設(shè)計(jì)過程中,既有用過的芯片,又有沒用過的,只能自己查表,分析功能。即學(xué)即用。最后調(diào)試階段,哪怕一個(gè)小小的錯(cuò)誤也會(huì)使結(jié)果出不來。只好一條線一條線地查,一個(gè)孔一個(gè)孔地測。結(jié)果終于出來了,又發(fā)現(xiàn)有的地方還應(yīng)改進(jìn)。如快慢節(jié)拍不是很明顯,花型比較簡單,且555產(chǎn)生的時(shí)鐘信號頻率太小等等。</p>

82、<p>  在調(diào)試過程中,故障是不可避免的,或者正如老師所說沒有故障反而還不正常。產(chǎn)生故障的原因很多,情況也很復(fù)雜,有的是一種原因引起的簡單故障,有的上多種原因相互作用引起的復(fù)雜故障,因此需要掌握故障的一般診斷方法,故障診斷過程就是以故障現(xiàn)象出發(fā),通過反復(fù)測試,做出分析判斷,逐步找出故障的過程。對于一個(gè)復(fù)雜的系統(tǒng)來說,要在大量的元器件和線路中迅速,準(zhǔn)確地找出故障是見很不容易的事。要通過對原理圖的分析,把系統(tǒng)分成不同功能的電路模

83、塊,通過逐一測量找出故障模塊,然后再對故障模塊內(nèi)部加以測量找出故障,查找故障,分析故障和排除故障,這樣可以提高我分析問題和解決問題的能力,因此,我把他看成是一次好的學(xué)習(xí)機(jī)會(huì)。</p><p>  通過電子線路課程設(shè)計(jì),我了解了電子產(chǎn)品設(shè)計(jì)的一般過程,掌握電子線路設(shè)計(jì)的基礎(chǔ)方法和一般過程,能用仿真軟件對電子線路進(jìn)行仿真設(shè)計(jì),掌握了電子電路調(diào)試的方法,能獨(dú)立解決設(shè)計(jì)與調(diào)試過程中出現(xiàn)的一般問題,能正確選用元器件與材料,

84、能對所設(shè)計(jì)電路的指標(biāo)和性能進(jìn)行測試并提出改進(jìn)意見,能查閱各種有關(guān)手冊和正確編寫設(shè)計(jì)報(bào)告。通過這次課程設(shè)計(jì),使我受益頗多。既鞏固了課堂上學(xué)到的理論知識,又掌握了常用集成電路芯片的使用。在此基礎(chǔ)上學(xué)習(xí)了數(shù)字系統(tǒng)設(shè)計(jì)的基本思想和方法,學(xué)會(huì)了科學(xué)地分析實(shí)際問題,通過查資料、分析資料及請教老師和同學(xué)等多種途徑,獨(dú)立解決問題。同時(shí),也培養(yǎng)了我認(rèn)真嚴(yán)謹(jǐn)?shù)墓ぷ髯黠L(fēng)。</p><p><b>  參考文獻(xiàn)</b&g

85、t;</p><p>  1.康華光.《電子技術(shù)基礎(chǔ)》. 第五版.北京:高等教育出版社,2006</p><p>  2.顧江,魯宏.《電子電路基礎(chǔ)實(shí)驗(yàn)與實(shí)踐》 南京:東南大學(xué)出版社,2008</p><p>  3.童詩白.《模擬電子技術(shù)基礎(chǔ)》 第四版 北京:高等教育出版社,2011</p><p><b>  附錄</b&

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論