2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩23頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

1、華東交通大學課程設計報告1基于基于EDA的數(shù)字頻率計的設計的數(shù)字頻率計的設計【摘要】在電子技術中,頻率是最基本的參數(shù)之一,并且與許多電參量的測量方案、測量結(jié)果都有十分密切的關系,因此頻率的測量就顯得更為重要。測量頻率的方法有多種其中電子計數(shù)器測量頻率具有精度高、使用方便、測量迅速,以及便于實現(xiàn)測量過程自動化等優(yōu)點,是頻率測量的重要手段之一。電子計數(shù)器測頻有兩種方式:一是直接測頻法,即在一定閘門時間內(nèi)測量被測信號的脈沖個數(shù);二是間接測頻法

2、,如周期測頻法。直接測頻法適用于高頻信號的頻率測量,間接測頻法適用于低頻信號的頻率測量。本文闡述了用VHDL語言設計了一個簡單的數(shù)字頻率計的過程?!娟P鍵詞】EDA;VHDL;數(shù)字頻率計;波形仿真;1.1設計要求設計要求借助于FPGACPLD的開發(fā)技術,設計一個4位十進制數(shù)字顯示的數(shù)字式頻率計,具體要求如下:(1)4位十進制數(shù)字顯示的數(shù)字式頻率計的測量范圍為1k~9999KHZ,測量單位為KHZ;(2)要求量程能夠自動轉(zhuǎn)換,即幾十KHZ顯

3、示小數(shù)點后兩位,而幾百KHZ則顯示小數(shù)點后一位;(3)當輸入信號小于1KHZ時,輸出全0,當輸入大于9999KHZ時,輸出顯示全H。1.2方案論證方案論證采用FPGACPLD芯片作為控制核心單元,完成各功能模塊。首先將被測信號與控制信號加在FPGACPLD芯片上,通過在芯片內(nèi)部進行各邏輯操作,完成分頻、計數(shù)及掃描顯示等功能操作,最后通過數(shù)碼管顯示結(jié)果。其原理框架圖如下圖12所示。被測信號防抖電路數(shù)碼顯示FPGACPLD華東交通大學課程設

4、計報告3脈沖形成模塊計數(shù)模塊譯碼顯示模塊控制模塊量程自動切換模塊分頻模塊鎖存信號清零使能被測信號基準信號圖21頻率計測量頻率的原理圖3.1時鐘分頻模塊時鐘分頻模塊本設計為滿足EDA開發(fā)板的要求,故采用32KHz的時鐘作為輸入時鐘,對其進行分頻,得到3個子時鐘,即200Hz的片選信號(動態(tài)掃描時鐘)、25Hz的防抖電路時鐘和0.5Hz的閘門信號。其實體模塊如圖311。圖311ENDTIME設置為8s加32KHz的輸入信號,其仿真波形如圖3

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論