洗衣機(jī)控制器課程設(shè)計(jì)_第1頁(yè)
已閱讀1頁(yè),還剩16頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p>  東 北 石 油 大 學(xué)</p><p>  課 程 設(shè) 計(jì)</p><p>  2012年 3 月2日</p><p>  東北石油大學(xué)課程設(shè)計(jì)任務(wù)書</p><p>  課程 EDA技術(shù)課程設(shè)計(jì)</p><p>  題目 洗衣機(jī)控制器</p><p>  專業(yè)

2、 電子信息工程 姓名 姜長(zhǎng)劍 學(xué)號(hào)080901140922</p><p>  主要內(nèi)容、基本要求、主要參考資料等</p><p><b>  主要內(nèi)容:</b></p><p>  設(shè)計(jì)一個(gè)洗衣機(jī)控制器,要求洗衣機(jī)有正轉(zhuǎn)、反轉(zhuǎn)、暫停三種狀態(tài)。設(shè)定洗衣機(jī)的工作時(shí)間,要洗衣機(jī)在工作時(shí)間內(nèi)完成:定時(shí)啟動(dòng)正轉(zhuǎn)20秒暫停10

3、秒反轉(zhuǎn)20秒暫停10秒定時(shí)未到回到“正轉(zhuǎn)20秒暫停10秒……”,定時(shí)到則停止,同時(shí)發(fā)出提示音。</p><p><b>  基本要求:</b></p><p>  1、設(shè)計(jì)一個(gè)電子定時(shí)器,控制洗衣機(jī)作如下運(yùn)轉(zhuǎn):定時(shí)啟動(dòng)正轉(zhuǎn)20秒暫停10秒反轉(zhuǎn)20秒暫停10秒定時(shí)未到回到“正轉(zhuǎn)20秒暫停10秒……”,定時(shí)到則停止;</p><p>  2、若定時(shí)

4、到,則停機(jī)發(fā)出音響信號(hào);</p><p>  3、用兩個(gè)數(shù)碼管顯示洗滌的預(yù)置時(shí)間(分鐘數(shù)),按倒計(jì)時(shí)方式對(duì)洗滌過程作計(jì)時(shí)顯示,直到時(shí)間到停機(jī);洗滌過程由“開始”信號(hào)開始;</p><p>  4、三只LED燈表示“正轉(zhuǎn)”、“反轉(zhuǎn)”、“暫?!比齻€(gè)狀態(tài)。</p><p>  [1] 潘松著.EDA技術(shù)實(shí)用教程(第二版). 北京:科學(xué)出版社,2005.</p>

5、<p>  [2] 康華光主編.電子技術(shù)基礎(chǔ) 模擬部分. 北京:高教出版社,2006.</p><p>  [3] 閻石主編.數(shù)字電子技術(shù)基礎(chǔ). 北京:高教出版社,2003.</p><p>  完成期限 2012.3.12 </p><p>  指導(dǎo)教師 </p><p&g

6、t;  專業(yè)負(fù)責(zé)人 </p><p>  2012年 2月27日</p><p><b>  一、總體設(shè)計(jì)思想</b></p><p><b>  1.基本原理</b></p><p>  首先用電路控制三只LED顯示洗衣機(jī)正轉(zhuǎn)、反轉(zhuǎn)、暫停三種狀態(tài)。然后用電子定時(shí)器

7、控制洗衣機(jī)設(shè)定的工作時(shí)間,以及正傳和反轉(zhuǎn)運(yùn)行時(shí)間的控制。同時(shí)用兩個(gè)數(shù)碼管顯示洗滌的預(yù)置時(shí)間(按分鐘計(jì)數(shù)),按倒計(jì)時(shí)方式對(duì)洗滌過程作計(jì)時(shí)顯示,直到時(shí)間到停機(jī);洗滌過程由“開始”信號(hào)開始;最后定時(shí)到則停止,同時(shí)用蜂鳴器發(fā)出提示音。通過各種開關(guān)組成控制電路,使洗衣機(jī)實(shí)現(xiàn)程序運(yùn)轉(zhuǎn)。 直至結(jié)束為止。</p><p><b>  2.設(shè)計(jì)框圖</b></p><p>  洗衣機(jī)控

8、制電路由定時(shí)輸入模塊,電機(jī)輸出模塊,電機(jī)時(shí)間控制模塊,數(shù)字顯示電路,倒計(jì)時(shí)模塊以及報(bào)警器模塊組成。</p><p><b>  圖一 </b></p><p>  二、設(shè)計(jì)步驟和調(diào)試過程</p><p><b>  1、總體設(shè)計(jì)電路</b></p><p><b>  電路圖如下所示:&l

9、t;/b></p><p><b>  圖二</b></p><p>  2、模塊設(shè)計(jì)和相應(yīng)模塊程序</p><p> ?。?)定時(shí)輸入模塊:</p><p>  此模塊是為了實(shí)現(xiàn)洗衣機(jī)能夠定時(shí)輸入的功能,保證洗衣機(jī)能夠正常運(yùn)行。</p><p>  library IEEE;</p&

10、gt;<p>  use IEEE.STD_LOGIC_1164.ALL;</p><p>  use IEEE.STD_LOGIC_ARITH.ALL;</p><p>  use IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  entity shuru is</p><p>  Port ( s

11、hu : in std_logic; </p><p>  hshu: in std_logic;</p><p>  din : in std_logic; </p><p>  dout:out std_logic_vector(3 downto 0);</p><p>  dout1:out std_logic_vector(3 do

12、wnto 0)); </p><p>  end shuru;</p><p>  architecture Behavioral of shuru is</p><p>  signal count: std_logic_vector(3 downto 0);</p><p>  signal count1: std_logic_vect

13、or(3 downto 0);</p><p>  signal count2: std_logic_vector(3 downto 0);</p><p>  signal count3: std_logic_vector(3 downto 0);</p><p><b>  begin</b></p><p>  p

14、rocess(shu,hshu,din)</p><p><b>  begin</b></p><p>  dout<=count;</p><p>  dout1<=count1;</p><p>  if din='1' then</p><p>  dout&l

15、t;="1111";dout1<="1111";</p><p>  elsif rising_edge(shu) then</p><p>  if count="1001" then</p><p>  count<="0000";</p><p>

16、;<b>  else</b></p><p>  count<=count+1;</p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  if rising_edge(hshu) then</p&

17、gt;<p>  if count1="0110" then</p><p>  count1<="0000";</p><p><b>  else</b></p><p>  count1<=count1+1;</p><p><b>  e

18、nd if;</b></p><p><b>  end if;</b></p><p>  end process;</p><p>  end Behavioral;</p><p> ?。?)電機(jī)時(shí)間控制模塊:</p><p>  此模塊由一個(gè)累加器和一個(gè)命令控制器組成,用來實(shí)現(xiàn)

19、預(yù)置洗滌時(shí)間的功能,洗滌時(shí)間以分鐘數(shù)為單位,用戶可根據(jù)自己的需求來設(shè)定洗滌時(shí)間的長(zhǎng)短。</p><p>  library IEEE;</p><p>  use IEEE.STD_LOGIC_1164.ALL;</p><p>  use IEEE.STD_LOGIC_ARITH.ALL;</p><p>  use IEEE.STD_LO

20、GIC_UNSIGNED.ALL;</p><p>  entity washmachine is</p><p>  Port ( clk : in std_logic;</p><p>  c :out std_logic;</p><p>  d :out std_logic);</p><p>  end wa

21、shmachine;</p><p>  architecture miao20 of washmachine is</p><p>  signal count: std_logic_vector(2 downto 0); </p><p>  signal shi: integer range 0 to 60;</p><p><b

22、>  begin</b></p><p>  process(clk)</p><p><b>  begin</b></p><p>  if rising_edge(clk) then</p><p>  if shi=60 then</p><p>  shi<=0

23、;c<='1';</p><p>  else shi<=shi+1;c<='0';</p><p><b>  end if;</b></p><p>  if count="101" then</p><p>  count<="0

24、00";</p><p><b>  d<='1';</b></p><p><b>  else</b></p><p>  count<=count+1;</p><p><b>  d<='0';</b><

25、/p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  end process;</p><p>  end miao20;</p><p><b> ?。?)電機(jī)輸出模塊</b></p

26、><p>  此模塊是為了實(shí)現(xiàn)能夠控制洗衣機(jī)電機(jī)時(shí)間達(dá)到正轉(zhuǎn)、反轉(zhuǎn)、暫停的功能。</p><p>  library IEEE;</p><p>  use IEEE.STD_LOGIC_1164.ALL;</p><p>  use IEEE.STD_LOGIC_ARITH.ALL;</p><p>  use IEEE

27、.STD_LOGIC_UNSIGNED.ALL;</p><p>  entity dianji is</p><p><b>  Port ( </b></p><p>  cc :in std_logic;</p><p>  dd :out std_logic_vector(1 downto 0);</p&g

28、t;<p>  deng : out std_logic_vector(2 downto 0));</p><p>  end dianji;</p><p>  architecture di of dianji is</p><p>  signal count : std_logic_vector(2 downto 0);</p>

29、<p>  signal dian : std_logic_vector(1 downto 0);</p><p>  signal deng1 : std_logic_vector(2 downto 0);</p><p><b>  begin</b></p><p>  dd <= dian;</p><

30、;p>  deng<=deng1;</p><p>  process(cc)</p><p><b>  begin</b></p><p>  if rising_edge(cc) then</p><p>  if count = "101" then</p><

31、p>  count <= "000";</p><p><b>  else </b></p><p>  count <= count+1; </p><p><b>  end if;</b></p><p>  if count="000&q

32、uot; then</p><p>  dian<="01";deng1<="100";</p><p>  elsif count="010" then</p><p>  dian<="00";deng1<="010";</p>

33、<p>  elsif count="011" then</p><p>  dian<="11" ;deng1<="001";</p><p>  end if; </p><p><b>  end if;</b></p><p&

34、gt;  end process;</p><p><b>  end di ;</b></p><p> ?。?)數(shù)碼管顯示模塊:</p><p>  根據(jù)課程設(shè)計(jì)要求,必須將洗衣機(jī)的工作狀態(tài)及工作時(shí)間在數(shù)碼管和指示燈上顯示出來,此模塊是用來控制洗衣機(jī)的工作狀態(tài)及工作的頻率,并把工作狀態(tài)及工作時(shí)間顯示出來。</p><p&

35、gt;  library IEEE;</p><p>  use IEEE.STD_LOGIC_1164.ALL;</p><p>  use IEEE.STD_LOGIC_ARITH.ALL;</p><p>  use IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  entity shuma is</p

36、><p>  Port (din:in std_logic_vector(3 downto 0 ); </p><p>  dout:out std_logic_vector(6 downto 0) ); </p><p>  end shuma;</p><p>  architecture Behavioral of shuma is&

37、lt;/p><p><b>  begin</b></p><p>  process(din)</p><p><b>  begin</b></p><p>  case din is</p><p>  when "0000" => dout<

38、;="0000001";--0</p><p>  when "0001" => dout<="1001111";--1</p><p>  when "0010" => dout<="0010010";--2</p><p>  when &

39、quot;0011" => dout<="0000110";--3</p><p>  when "0100" => dout<="1001100"; --4</p><p>  when "0101" => dout<="0100100";--

40、5</p><p>  when "0110" => dout<="0100000";--6</p><p>  when "0111" => dout<="0001111";--7</p><p>  when "1000" => do

41、ut<="0000000";--8</p><p>  when "1001" => dout<="0000100";--9</p><p>  when others => dout<="1111111";</p><p><b>  end c

42、ase;</b></p><p>  end process;</p><p>  end Behavioral;</p><p><b>  (5)倒計(jì)時(shí)模塊:</b></p><p>  由于洗衣機(jī)有工作時(shí)間,必須要一模塊來控制它的工作時(shí)間范圍,當(dāng)洗衣機(jī)開始工作后,減法計(jì)數(shù)器即會(huì)實(shí)現(xiàn)減數(shù)功能,直到時(shí)間減到

43、零,洗衣機(jī)便停止工作。</p><p>  library IEEE;</p><p>  use IEEE.STD_LOGIC_1164.ALL;</p><p>  use IEEE.STD_LOGIC_ARITH.ALL;</p><p>  use IEEE.STD_LOGIC_UNSIGNED.ALL;</p><

44、;p>  entity hui is</p><p>  Port ( jian : in std_logic;</p><p>  reset : in std_logic;</p><p>  din : in std_logic_vector(3 downto 0);</p><p>  dout : out std_logic_

45、vector(3 downto 0);</p><p>  c:out std_logic);</p><p><b>  end hui;</b></p><p>  architecture Behavioral of hui is</p><p>  signal count : std_logic_vector(

46、3 downto 0);</p><p><b>  begin</b></p><p>  dout <= count;</p><p>  process(jian,reset,din)</p><p><b>  begin</b></p><p>  if re

47、set='1'then</p><p>  count <= din ;</p><p><b>  c<='0';</b></p><p>  elsif rising_edge(jian) then</p><p>  if count = "0000"

48、 then</p><p>  count <= "1001";</p><p><b>  c<='1';</b></p><p><b>  else </b></p><p>  count <= count-1;</p>&

49、lt;p><b>  c<='0';</b></p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  end process;</p><p>  end Behavioral;&l

50、t;/p><p>  library IEEE;</p><p>  use IEEE.STD_LOGIC_1164.ALL;</p><p>  use IEEE.STD_LOGIC_ARITH.ALL;</p><p>  use IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  entit

51、y hui1 is</p><p>  Port ( jian : in std_logic;</p><p>  reset : in std_logic;</p><p>  din : in std_logic_vector(3 downto 0);</p><p>  dout : out std_logic_vector(3 dow

52、nto 0);</p><p>  c:out std_logic);</p><p><b>  end hui1;</b></p><p>  architecture Behavioral of hui1 is</p><p>  signal count : std_logic_vector(3 downto 0

53、);</p><p><b>  begin</b></p><p>  dout <= count;</p><p>  process(jian,reset,din)</p><p><b>  begin</b></p><p>  if reset='1

54、'then</p><p>  count <= din ;</p><p><b>  c<='0';</b></p><p>  elsif rising_edge(jian) then</p><p>  if count = "0000" then</

55、p><p><b>  c<='1';</b></p><p><b>  else </b></p><p>  count <= count-1;</p><p><b>  c<='0';</b></p><

56、;p><b>  end if;</b></p><p><b>  end if;</b></p><p>  end process;</p><p>  end Behavioral;</p><p><b>  報(bào)警器模塊:</b></p><

57、p>  當(dāng)洗滌時(shí)間結(jié)束時(shí),洗衣機(jī)就會(huì)自動(dòng)發(fā)出警報(bào)聲,以此提醒用戶洗滌工作完成,此模塊就是實(shí)現(xiàn)此功能。</p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  use ieee.std_logic_undigned.all;</p><p>  en

58、tity warming is</p><p>  port(clk:in std_logic;</p><p>  finishc: in std_logic;</p><p>  warn:out std_logic);</p><p>  end warming;</p><p>  Architecture s

59、ix of warming is</p><p><b>  begin </b></p><p>  process(clk)</p><p><b>  Begin</b></p><p>  If finishc=’1’then warn<=clk;</p><p&g

60、t;  Else warn<=’0’;</p><p><b>  end if;</b></p><p>  end process;</p><p><b>  end;</b></p><p>  3、仿真及仿真結(jié)果分析</p><p>  EDA工具在EDA技術(shù)

61、應(yīng)用中占據(jù)極其重要的位置,EDA的核心是利用計(jì)算機(jī)完成電子設(shè)計(jì)全程自動(dòng)化,因此基于計(jì)算機(jī)環(huán)境的EDA軟件的支持是必不可少的。此次設(shè)計(jì)所用EDA工具是由著名的Alter公司生產(chǎn)的MAX+plusⅡ工具軟件,它是一種集成的開發(fā)環(huán)境,支持原理圖、VHDL和Verilog語言文本文件,以及波形文件作為設(shè)計(jì)輸入,并支持這些文件的人以混合設(shè)計(jì)。</p><p> ?。?)定時(shí)輸入仿真:</p><p>

62、;  (2)電機(jī)時(shí)間控制模塊:</p><p><b> ?。?)電機(jī)仿真:</b></p><p>  (4)數(shù)碼顯示仿真:</p><p><b> ?。?)倒計(jì)時(shí)仿真:</b></p><p><b>  (6)總體仿真:</b></p><p>

63、<b>  4、實(shí)驗(yàn)調(diào)試結(jié)果</b></p><p>  通過幾天的調(diào)試,調(diào)試結(jié)果達(dá)到了設(shè)計(jì)要求。實(shí)現(xiàn)功能如下:定時(shí)啟動(dòng)正轉(zhuǎn)20秒暫停10秒反轉(zhuǎn)20秒暫停10秒定時(shí)未到回到“正轉(zhuǎn)20秒暫停10秒……”,時(shí)間控制正常。三只LED管也能顯示“正轉(zhuǎn)”、“反轉(zhuǎn)”、“暫?!比齻€(gè)狀態(tài)。運(yùn)轉(zhuǎn)時(shí),數(shù)碼管也能顯示倒計(jì)時(shí)間。最后當(dāng)定時(shí)達(dá)到時(shí),蜂鳴器發(fā)出響聲報(bào)警。</p><p><

64、b>  三、結(jié)論及心得體會(huì)</b></p><p>  通過這次課程設(shè)計(jì)使我懂得了理論與實(shí)際相結(jié)合是很重要的,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來,從理論中得出結(jié)論,才能真正地更好去理解知識(shí),從而提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力。從查閱相關(guān)的資料到自己設(shè)計(jì)總體方案,每一個(gè)環(huán)節(jié)都是在對(duì)以往知識(shí)學(xué)習(xí)掌握的復(fù)習(xí),歸納總結(jié)以及提升,EDA以硬件描述語言VHDL為系統(tǒng)描述手

65、段完成的設(shè)計(jì)文件,自動(dòng)的完成邏輯編譯,邏輯化簡(jiǎn),邏輯分割,邏輯綜合。結(jié)構(gòu)綜合,以及邏輯優(yōu)化和仿真測(cè)試,直至實(shí)現(xiàn)既定的電子線路系統(tǒng)功能。在設(shè)計(jì)過程中,我意識(shí)到了自己對(duì)專業(yè)知識(shí)的不足之處。 這就要求我不斷的去復(fù)習(xí)課本,以便更好的來完成本次課程設(shè)計(jì)。但是,在進(jìn)行編譯運(yùn)行時(shí),就出現(xiàn)了諸多錯(cuò)誤。使得整個(gè)程序無法繼續(xù)運(yùn)行。這時(shí)自己就得有充足的耐心,一點(diǎn)點(diǎn)的調(diào)試,檢查。</p><p><b>  參考資料</

66、b></p><p>  [1] 潘松著.EDA技術(shù)實(shí)用教程(第二版). 北京:科學(xué)出版社,2005.</p><p>  [2] 康華光主編.電子技術(shù)基礎(chǔ) 模擬部分. 北京:高教出版社,2006.</p><p>  [3] 閻石主編.數(shù)字電子技術(shù)基礎(chǔ). 北京:高教出版社,2003.</p><p>  [4] 譚會(huì)生,張昌凡.EDA

67、技術(shù)及應(yīng)用.西安:西安電子科技大學(xué)出版社,2001.</p><p>  [5] 潘松,黃繼業(yè).EDA技術(shù)實(shí)用教程.北京:科學(xué)出版社,2002.</p><p>  東北石油大學(xué)課程設(shè)計(jì)成績(jī)?cè)u(píng)價(jià)表</p><p>  指導(dǎo)教師: 2012年 3 月 5 日</p

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論