2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩22頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p>  電子技術(shù)基礎(chǔ)課程設(shè)計(jì)</p><p>  ——智能洗衣機(jī)控制器</p><p><b>  目錄</b></p><p><b>  一、課程設(shè)計(jì)的目的</b></p><p>  二、課程設(shè)計(jì)的課題與要求</p><p>  1. 課程設(shè)計(jì)的課題<

2、;/p><p>  2. 課程設(shè)計(jì)的要求</p><p><b>  三、設(shè)計(jì)思路與過(guò)程</b></p><p><b>  1. 設(shè)計(jì)前提概述</b></p><p><b>  2. ASM圖</b></p><p><b>  3. 狀態(tài)圖&

3、lt;/b></p><p><b>  4. 輸入輸出設(shè)計(jì)</b></p><p><b>  四、程序內(nèi)容</b></p><p><b>  五、仿真波形圖</b></p><p><b>  1. 模式一的仿真</b></p>

4、<p><b>  2. 模式二的仿真</b></p><p><b>  3. 模式三的仿真</b></p><p><b>  4. 模式四的仿真</b></p><p><b>  5. 模式五的仿真</b></p><p><b&g

5、t;  六、課設(shè)感想與收獲</b></p><p><b>  一、課程設(shè)計(jì)的目的</b></p><p>  1.了解數(shù)字系統(tǒng)的組成,學(xué)習(xí)數(shù)字系統(tǒng)的設(shè)計(jì)方法。</p><p>  2.學(xué)習(xí)數(shù)字系統(tǒng)由上向下設(shè)計(jì)法的工具-ASM圖</p><p>  3.熟悉現(xiàn)代數(shù)字系統(tǒng)的實(shí)現(xiàn)方法:用PLD器件取代傳統(tǒng)的中規(guī)模

6、集成器件實(shí)現(xiàn)數(shù)字電路與系統(tǒng)。</p><p>  學(xué)習(xí)分層次化實(shí)現(xiàn)數(shù)字電路與系統(tǒng)的方法。</p><p>  5.學(xué)習(xí)使用硬件描述語(yǔ)言(Hardware Description Language)對(duì)數(shù)字電路與系統(tǒng)進(jìn)行建模、仿真與實(shí)現(xiàn)的方法。</p><p>  二、課程設(shè)計(jì)的課題與要求</p><p>  1. 課程設(shè)計(jì)的課題</p&g

7、t;<p>  設(shè)計(jì)一個(gè)智能洗衣機(jī)(全自動(dòng)洗衣機(jī))控制器,能夠?qū)崿F(xiàn)洗衣,漂洗和脫水的功能。</p><p>  2. 課程設(shè)計(jì)的要求</p><p>  能夠使用按鍵模擬對(duì)洗衣機(jī)的控制,能夠設(shè)置工作模式,為了便于觀察,將洗衣機(jī)的工作模式和所剩的工作時(shí)間用數(shù)碼管顯示出來(lái),能夠?qū)⑾匆聶C(jī)當(dāng)前所處的狀態(tài)用發(fā)光管或者數(shù)碼管顯示出來(lái)。</p><p>  【模式1

8、】:洗特別臟的衣服( 洗衣30秒鐘)</p><p>  【模式2】 :洗臟的衣服 (洗衣20秒鐘)</p><p>  【模式3】 :洗一般的衣服(洗衣10秒鐘)</p><p>  【模式4】 :漂洗(每次漂洗5秒鐘)</p><p>  【模式5】 :脫水(每次脫水3秒鐘)</p><p>  【洗衣全過(guò)程】:洗

9、衣+脫水+漂洗+脫水+漂洗+脫水,注水完成使用外部傳感器S=1表示。</p><p>  【漂洗模式】:漂洗+脫水+漂洗+脫水,注水完成使用外部傳感器S=1表示。</p><p>  【脫水模式】:脫水。</p><p>  【注】:操作完畢使用蜂鳴器鳴叫兩秒提示。 </p><p><b>  三、設(shè)計(jì)思路與過(guò)程</b>

10、;</p><p><b>  1. 設(shè)計(jì)前提概述</b></p><p>  本設(shè)計(jì)任務(wù)主要是實(shí)現(xiàn)各個(gè)模式之間的切換以及各個(gè)狀態(tài)之間的轉(zhuǎn)變。</p><p>  課題已經(jīng)要求有模式一到五這五個(gè)模式,故按照這五個(gè)模式來(lái)思考。</p><p>  另外,課題也明確要求了三個(gè)狀態(tài):洗衣,漂洗與脫水。但是,注水是洗衣機(jī)必須進(jìn)行

11、的操作,也占有整個(gè)模式中的部分時(shí)間,所以也將注水當(dāng)作一種狀態(tài),而且洗衣和漂洗之前都必須注水。</p><p>  綜上,設(shè)計(jì)的模式有五個(gè):模式一,模式二,模式三,模式四和模式五。設(shè)計(jì)的狀態(tài)有四個(gè):注水,洗衣,漂洗與脫水,分別對(duì)應(yīng)s1,s2,s3,s4。</p><p><b>  2. ASM圖</b></p><p>  每個(gè)狀態(tài)都有規(guī)定的具

12、體時(shí)間,時(shí)間到了,發(fā)生轉(zhuǎn)換。圖中T1,T2,T3,T4,T5,T6,TT表示用時(shí)間來(lái)控制狀態(tài)的轉(zhuǎn)換。在程序中,有具體的T1,T2,T3,T4,T5,T6,TT的表示,為作圖簡(jiǎn)便,圖中不作具體說(shuō)明。</p><p><b>  3. 狀態(tài)圖</b></p><p>  圖中S1,S2,S3,S4分別對(duì)應(yīng)狀態(tài)注水,洗衣,漂洗,脫水。而圖中的T1,T2,T3,T4,T5,T

13、6,TT同ASM圖中的符號(hào),在ASM圖中已說(shuō)明,詳情見(jiàn)程序,這里不重復(fù)說(shuō)明。</p><p><b>  4. 輸入輸出設(shè)計(jì)</b></p><p>  本程序共有5個(gè)模式:模式一,模式二,模式三,模式四,模式五。4個(gè)狀態(tài):注水,洗衣,漂洗,脫水。</p><p>  設(shè)計(jì)6個(gè)輸入按鍵,分別為K1,K2,K3,K4,K5,K6。K1為控制模式一

14、的按鍵;K2為控制模式二的按鍵;K3為控制模式三的按鍵;K4為控制模式四的按鍵;K5為控制模式五的按鍵;K6為控制洗衣機(jī)工作的按鍵。 </p><p>  輸出設(shè)備有一個(gè)發(fā)光二極管,四個(gè)數(shù)碼管。發(fā)光二極管亮表示注水完成,發(fā)光二極管滅表示正在注水。一個(gè)數(shù)碼管用來(lái)顯示當(dāng)前的模式,1,2,3,4,5分別表示模式一,模式二,模式三,模式四,模式五;一個(gè)數(shù)碼管用來(lái)表示當(dāng)前的狀態(tài),1,2,3,4分別表示注水,洗衣,漂洗,脫水

15、狀態(tài);兩個(gè)數(shù)碼管用來(lái)顯示當(dāng)前模式所剩下的時(shí)間,時(shí)間以1遞減至0。</p><p><b>  四、程序內(nèi)容</b></p><p>  module washclother(clk,clkk,k1,k2,k3,k4,k5,k6,state,mode,ttime,alarm,zhushui);</p><p>  input clk; /

16、/整個(gè)程序的時(shí)鐘信號(hào)</p><p>  input clkk; //揚(yáng)聲器的時(shí)鐘信號(hào)</p><p>  input k1; //模式一的控制按鍵</p><p>  input k2; //模式二的控制按鍵</p><p>  input k3; //模式三的控制按鍵</p><p&

17、gt;  input k4; //模式四的控制按鍵</p><p>  input k5; //模式五的控制按鍵</p><p>  input k6; //工作使能按鍵</p><p>  output [3:0] state; //狀態(tài) 數(shù)碼管顯示信號(hào)</p><p>  output [3:0]

18、 mode; //模式 數(shù)碼管顯示信號(hào)</p><p>  output [7:0] ttime; //模式的總時(shí)間</p><p>  output alarm,zhushui;</p><p>  reg zhushui; //注水信號(hào)</p><p>  reg alarm;

19、 //揚(yáng)聲器信號(hào)</p><p>  reg [3:0] jiao; //揚(yáng)聲器響的時(shí)間計(jì)時(shí)</p><p>  reg [7:0] ttime;</p><p>  reg [3:0] mode;</p><p>  reg [3:0] state;</p><p>  reg wor

20、k; //開(kāi)始工作信號(hào)</p><p>  reg over; //結(jié)束信號(hào)</p><p>  always @(posedge clk or posedge k6)</p><p><b>  begin</b></p><p>  if(k6==1)

21、 //k6按下,work為1,開(kāi)始工作</p><p><b>  work<=1;</b></p><p>  else //k6未按,模式初始化</p><p><b>  begin</b></p><p><b>  over<

22、=1;</b></p><p>  jiao<=4'b0000;</p><p><b>  work<=0;</b></p><p>  if(k1==1) //初始化為模式一</p><p><b>  begin</b></p>

23、<p>  ttime<=8'h55;</p><p>  mode<=4'b0001;</p><p><b>  end</b></p><p>  else if(k2==1) //初始化為模式二</p><p><b>  begin</b>&l

24、t;/p><p>  ttime<=8'h45;</p><p>  mode<=4'b0010;</p><p><b>  end</b></p><p>  else if(k3==1) //初始化為模式三</p><p><b>  begin&l

25、t;/b></p><p>  ttime<=8'h35;</p><p>  mode<=4'b0011;</p><p><b>  end</b></p><p>  else if(k4==1) //初始化為模式四</p><p><b&

26、gt;  begin</b></p><p>  ttime<=8'h20;</p><p>  mode<=4'b0100;</p><p><b>  end</b></p><p>  else if(k5==1) //初始化為模式五</p>&l

27、t;p><b>  begin</b></p><p>  ttime<=8'h5;</p><p>  mode<=4'b0101;</p><p><b>  end</b></p><p>  else //初始化為0<

28、;/p><p><b>  begin</b></p><p>  mode<=4'b0000;</p><p>  state<=4'b0000;</p><p>  ttime<=8'h0;</p><p><b>  end</b>

29、</p><p><b>  end</b></p><p>  if(work==1) //開(kāi)始從某一模式工作</p><p><b>  begin</b></p><p>  case(mode) //確定模式</p>&l

30、t;p>  4'b0001:begin //模式一的過(guò)程</p><p>  if(((ttime>8'h53)&&(ttime<8'h56))||((ttime<8'h21)&&(ttime>8'h18))||((ttime<8'h11)&&(ttime>8&#

31、39;h8)))</p><p>  begin //注水狀態(tài)</p><p>  zhushui<=1'b0;</p><p>  state<=4'b0001;</p><p><b>  end </b></p><p><b>

32、;  else </b></p><p>  zhushui<=1'b1;</p><p>  if((ttime>8'h23)&&(ttime<8'h54))</p><p>  state<=4'b0010; //洗衣?tīng)顟B(tài)</p><p>  if

33、(((ttime>8'h13)&&(ttime<8'h19))||((ttime<8'h9)&&(ttime>8'h3)))</p><p>  state<=4'b0011; //漂洗狀態(tài)</p><p>  if(((ttime>8'h20)&&

34、(ttime<8'h24))||((ttime<8'h14)&&(ttime>8'h10))||((ttime<8'h4)&&(ttime>8'h0)))</p><p>  state<=4'b0100; //脫水狀態(tài)</p><p>  if(ttime>

35、8'h0)</p><p>  begin //時(shí)間遞減到0</p><p>  if(ttime[3:0]==4'b0000)</p><p><b>  begin</b></p><p>  ttime[3:0]<=4'b1001;</p>

36、<p>  ttime[7:4]<=ttime[7:4]-1'b1;</p><p><b>  end</b></p><p>  else ttime[3:0]<=ttime[3:0]-1'b1;</p><p><b>  end</b></p><p>

37、;<b>  end</b></p><p>  4'b0010:begin //模式二的過(guò)程</p><p>  if(((ttime>8'h43)&&(ttime<8'h46))||((ttime<8'h21)&&(ttime>8'h18))|

38、|((ttime<8'h11)&&(ttime>8'h8)))</p><p><b>  begin</b></p><p>  zhushui<=1'b0;</p><p>  state<=4'b0001;</p><p><b> 

39、 end </b></p><p><b>  else </b></p><p>  zhushui<=1'b1;</p><p>  if((ttime>8'h23)&&(ttime<8'h44))</p><p>  state<=4

40、9;b0010;</p><p>  if(((ttime>8'h13)&&(ttime<8'h19))||((ttime<8'h9)&&(ttime>8'h3)))</p><p>  state<=4'b0011;</p><p>  if(((ttime>

41、;8'h20)&&(ttime<8'h24))||((ttime<8'h14)&&(ttime>8'h10))||((ttime<8'h4)&&(ttime>8'h0)))</p><p>  state<=4'b0100;</p><p>  if(

42、ttime>0)</p><p><b>  begin</b></p><p>  if(ttime[3:0]==4'b0000)</p><p><b>  begin</b></p><p>  ttime[3:0]<=4'b1001;</p><

43、;p>  ttime[7:4]<=ttime[7:4]-1'b1;</p><p><b>  end</b></p><p>  else ttime[3:0]<=ttime[3:0]-1'b1;</p><p><b>  end</b></p><p><

44、;b>  end</b></p><p>  4'b0011:begin //模式三的過(guò)程</p><p>  if(((ttime>33)&&(ttime<36))||((ttime<21)&&(ttime>18))||((ttime<11)&&(ttime&g

45、t;8)))</p><p><b>  begin</b></p><p>  zhushui<=1'b0;</p><p>  state<=4'b0001;</p><p><b>  end </b></p><p><b>  

46、else </b></p><p>  zhushui<=1'b1;</p><p>  if((ttime>8'h23)&&(ttime<8'h34))</p><p>  state<=4'b0010;</p><p>  if(((ttime>8&

47、#39;h13)&&(ttime<8'h19))||((ttime<8'h9)&&(ttime>8'h3)))</p><p>  state<=4'b0011;</p><p>  if(((ttime>8'h20)&&(ttime<8'h24))||((t

48、time<8'h14)&&(ttime>8'h10))||((ttime<8'h4)&&(ttime>8'h0)))</p><p>  state<=4'b0100;</p><p>  if(ttime>8'h0)</p><p><b>

49、;  begin</b></p><p>  if(ttime[3:0]==4'b0000)</p><p><b>  begin</b></p><p>  ttime[3:0]<=4'b1001;</p><p>  ttime[7:4]<=ttime[7:4]-1'

50、b1;</p><p><b>  end</b></p><p>  else ttime[3:0]<=ttime[3:0]-1'b1;</p><p><b>  end</b></p><p><b>  end</b></p><p&g

51、t;  4'b0100:begin //模式四的過(guò)程</p><p>  if(((ttime>8'h18)&&(ttime<8'h21))||((ttime<8'h11)&&(ttime>8'h8)))</p><p><b>  begin</b>

52、;</p><p>  zhushui<=1'b0;</p><p>  state<=4'b0001;</p><p><b>  end </b></p><p><b>  else </b></p><p>  zhushui<=1&

53、#39;b1;</p><p>  if(((ttime>8'h13)&&(ttime<8'h19))||((ttime<8'h9)&&(ttime>8'h3)))</p><p>  state<=4'b0011;</p><p>  if(((ttime>

54、8'h10)&&(ttime<8'h14))||((ttime<8'h4)&&(ttime>8'h0)))</p><p>  state<=4'b0100;</p><p>  if(ttime>8'h0)</p><p><b>  begin

55、</b></p><p>  if(ttime[3:0]==4'b0000)</p><p><b>  begin</b></p><p>  ttime[3:0]<=4'b1001;</p><p>  ttime[7:4]<=ttime[7:4]-1'b1;</

56、p><p><b>  end</b></p><p>  else ttime[3:0]<=ttime[3:0]-1'b1;</p><p><b>  end</b></p><p><b>  end </b></p><p>  4&#

57、39;b0101:begin //模式五的過(guò)程</p><p>  if((ttime>8'h3)&&(ttime<8'h6))</p><p><b>  begin</b></p><p>  zhushui<=1'b0;</p><p&

58、gt;  state<=4'b0001;</p><p><b>  end </b></p><p><b>  else </b></p><p>  zhushui<=1'b1;</p><p>  if((ttime<8'h4)&&(

59、ttime>8'h0))</p><p>  state<=4'b0100;</p><p>  if(ttime>8'h0)</p><p><b>  begin</b></p><p>  if(ttime[3:0]==4'b0000)</p><

60、;p><b>  begin</b></p><p>  ttime[3:0]<=4'b1001;</p><p>  ttime[7:4]<=ttime[7:4]-1'b1;</p><p><b>  end</b></p><p>  else ttime[3

61、:0]<=ttime[3:0]-1'b1;</p><p><b>  end</b></p><p><b>  end</b></p><p>  4'b0000:begin //停止工作</p><p>  state<=4'b0000

62、;</p><p>  ttime<=8'h0;</p><p>  zhushui<=1'b1;</p><p>  alarm<=1'b0;</p><p><b>  end</b></p><p><b>  endcase</b&

63、gt;</p><p>  if((over==1)&&(ttime[7:0]==8'h1))</p><p><b>  begin</b></p><p><b>  over<=0;</b></p><p>  jiao<=4'b0010;

64、 //揚(yáng)聲器鳴叫2S</p><p><b>  end</b></p><p>  if(jiao>4'b0000)</p><p><b>  begin</b></p><p>  alarm<=~clkk; //揚(yáng)聲器鳴叫</p>&l

65、t;p>  jiao<=jiao-1'b1; //鳴叫時(shí)間遞減到0</p><p><b>  end</b></p><p><b>  else</b></p><p><b>  alarm<=0;</b></p><p><b

66、>  end</b></p><p><b>  end</b></p><p><b>  endmodule</b></p><p><b>  五、仿真波形圖</b></p><p><b>  1. 模式一的仿真</b></

67、p><p><b>  2. 模式二的仿真</b></p><p><b>  3. 模式三的仿真</b></p><p><b>  4. 模式四的仿真</b></p><p><b>  5. 模式五的仿真</b></p><p>

68、<b>  六、課設(shè)感想與收獲</b></p><p>  每次課程設(shè)計(jì)都有些讓我們即恐懼又興奮,因?yàn)樗錆M挑戰(zhàn)。本次課程設(shè)計(jì)亦是如此,趣味性強(qiáng),同時(shí)也可以學(xué)到很多東西。</p><p>  在這次課設(shè)中,在收獲知識(shí)的同時(shí),還收獲了閱歷,收獲了成熟,在此過(guò)程中,我通過(guò)查找大量資料,請(qǐng)教老師,以及不懈的努力,不僅培養(yǎng)了獨(dú)立思考、動(dòng)手操作的能力,在各種其它能力上也都有了提

69、高。更重要的是,在課設(shè)過(guò)程中,我們學(xué)會(huì)了很多學(xué)習(xí)的方法。而這是日后最實(shí)用的,真的是受益匪淺。要面對(duì)社會(huì)的挑戰(zhàn),只有不斷的學(xué)習(xí)、實(shí)踐,再學(xué)習(xí)、再實(shí)踐。</p><p>  我不僅了解了數(shù)字系統(tǒng)的組成,學(xué)會(huì)了數(shù)字系統(tǒng)的設(shè)計(jì)方法及數(shù)字系統(tǒng)由上向下設(shè)計(jì)法的工具-ASM圖,而且熟悉了現(xiàn)代數(shù)字系統(tǒng)的實(shí)現(xiàn)方法:用PLD器件取代傳統(tǒng)的中規(guī)模集成器件實(shí)現(xiàn)數(shù)字電路與系統(tǒng)。我還掌握了分層次化實(shí)現(xiàn)數(shù)字電路與系統(tǒng)的方法,了解了使用硬件描述

70、語(yǔ)言(Hardware Description Language)對(duì)數(shù)字電路與系統(tǒng)進(jìn)行建模、仿真與實(shí)現(xiàn)的方法。</p><p>  課設(shè)的過(guò)程永遠(yuǎn)是那么的糾結(jié),那么的刺激,那么的值得回味,在某種意義上說(shuō),這是一種鍛煉,一種知識(shí)的積累,能力的提高。完全可以把這個(gè)當(dāng)作基礎(chǔ)東西,只有掌握了這些最基礎(chǔ)的,才可以更進(jìn)一步,取得更好的成績(jī)。很少有人會(huì)一步登天吧。永不言棄才是最重要的。 而且,這對(duì)于我們的將來(lái)也有很大的幫助。以

71、后,不管有多苦,我想我們都能變苦為樂(lè),找尋有趣的事情,發(fā)現(xiàn)其中珍貴的事情。就像中國(guó)提倡的艱苦奮斗一樣,我們都可以在實(shí)驗(yàn)結(jié)束之后變的更加成熟,會(huì)面對(duì)需要面對(duì)的事情。</p><p>  雖然大二學(xué)過(guò)數(shù)電,對(duì)Verilog語(yǔ)言有一定的涉獵,但是并不熟悉,未掌握其核心內(nèi)容,這次課程設(shè)計(jì),不僅復(fù)習(xí)了數(shù)電知識(shí)的內(nèi)容,而且使我對(duì)Verilog語(yǔ)言有了更進(jìn)一步的了解,不管是從結(jié)構(gòu)上,還是從語(yǔ)法上。Verilog語(yǔ)言是一門很實(shí)用

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論