2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩36頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、<p>  *******************</p><p><b>  實踐教學(xué)</b></p><p>  *******************</p><p><b>  計算機(jī)與通信學(xué)院</b></p><p><b>  2011年春季學(xué)期</b>&

2、lt;/p><p>  計算機(jī)組成原理課程設(shè)計</p><p>  題 目: 模型機(jī)設(shè)計—5 </p><p>  專業(yè)班級: 08級計算機(jī)科學(xué)與技術(shù)(5)班 </p><p>  姓 名: </p><p>  學(xué)

3、 號: </p><p>  指導(dǎo)教師: </p><p>  成 績: </p><p><b>  前 言</b></p><p>  通過對計算機(jī)組成原理及實驗的學(xué)習(xí)

4、,設(shè)計14條機(jī)器指令,并編寫相應(yīng)的微程序,完成由基本單元電路構(gòu)成一臺基本模型機(jī),再經(jīng)過調(diào)試指令和模型機(jī)使其在微程序的控制下自動產(chǎn)生各部件單元的正常工作控制信號。</p><p>  在設(shè)計基本模型機(jī)5的實驗過程中,個別部件單元的控制信號是人為模擬產(chǎn)生的,而本課程設(shè)計將能在微程序控制下自動產(chǎn)生各部件單元控制信號,實現(xiàn)特定指令的功能。這里,計算機(jī)數(shù)據(jù)通路的控制將由微程序控制器來完成,CPU從內(nèi)存中取出一條機(jī)器指令到指

5、令執(zhí)行結(jié)束的一個指令周期,全部由微指令組成的序列來完成,即一條機(jī)器指令對應(yīng)一條微程序。</p><p>  本課程設(shè)計以DVCC計算機(jī)組成原理教學(xué)實驗系統(tǒng)為平臺設(shè)計完成。</p><p>  根據(jù)任務(wù)要求設(shè)計整機(jī)系統(tǒng)的方案。</p><p>  存儲系統(tǒng):使用模型機(jī)的存儲模塊,說明存儲器的輸入輸出時序,模塊連接方式等。</p><p>  運

6、算器:使用模型機(jī)的器件,組成帶有片間串行進(jìn)位8位移位運算功能的運算器。</p><p>  微程序控制器模塊:使用教學(xué)機(jī)的系統(tǒng),設(shè)計微程序控制器。</p><p>  設(shè)計模型機(jī)指令系統(tǒng):(含設(shè)計微指令格式、微程序流程圖,每條指令所對應(yīng)的微程序等)。</p><p>  了解并說明教學(xué)模型機(jī)的輸入輸出模塊。</p><p>  在自己設(shè)計的指

7、令系統(tǒng)基礎(chǔ)上,編制一個匯編語言小程序并進(jìn)行調(diào)試通過。</p><p>  整機(jī)設(shè)計分模塊進(jìn)行,說明模塊中數(shù)據(jù)和控制信號的來源、去向、功能、時序,以及模塊間數(shù)據(jù)和控制信號的來源、去向、功能、時序等。</p><p>  本設(shè)計的規(guī)定項目采用14條機(jī)器指令:IN.OUT.STA.LDA.JMP.BZC.CLR.MOV.</p><p>  CMP.SUB.DEC.HLT

8、.RRC.RLC。</p><p><b>  摘 要</b></p><p>  本次課程設(shè)計課題是基本模型機(jī)的設(shè)計與實現(xiàn),該設(shè)計要求根據(jù)計算機(jī)組成原理課程所學(xué)知識,設(shè)計、開發(fā)一套簡單的模型計算機(jī)。通過對一個簡單計算機(jī)的設(shè)計,以達(dá)到對計算機(jī)的基本組成、部件的功能與設(shè)計、微程序控制器的設(shè)計、微指令和微程序的編制與調(diào)試等過程有更深的了解,加深對理論課程的理解。通過模型機(jī)

9、的設(shè)計和調(diào)試,連貫運用計算機(jī)組成原理課程學(xué)到的知識,建立計算機(jī)整機(jī)概念,加深計算機(jī)時間和空間概念的理解。</p><p>  部件實驗過程中,本課程設(shè)計將能在微程序控制下自動產(chǎn)生各部件單元的控制信號,實現(xiàn)特定指令的功能,通過設(shè)計流程圖,編寫機(jī)器指令,微指令和控制信號程序。首先向存儲器中裝入數(shù)據(jù)和程序,然后檢查寫入是否正確,啟動程序執(zhí)行,并將實驗結(jié)果顯示輸出。</p><p>  關(guān)鍵詞:模

10、型機(jī) 運算器 存儲系統(tǒng) 指令系統(tǒng) 微程序指令</p><p><b>  目錄</b></p><p><b>  前 言2</b></p><p><b>  摘 要3</b></p><p>  一 設(shè)計的目的及設(shè)計原理4</p><

11、p>  1 設(shè)計的目的4</p><p><b>  2 設(shè)計的原理5</b></p><p><b>  二 總體設(shè)計9</b></p><p><b>  三 詳細(xì)設(shè)計13</b></p><p>  1 運算器的物理結(jié)構(gòu)13</p><

12、;p>  2 存儲器的組成與說明14</p><p>  3 指令系統(tǒng)的設(shè)計與格式分析15</p><p>  4 微程序控制器的邏輯機(jī)構(gòu)及功能25</p><p>  5 微程序的設(shè)計與實現(xiàn)27</p><p>  四.系統(tǒng)調(diào)試報告33</p><p><b>  五 設(shè)計總結(jié)36<

13、/b></p><p>  六 設(shè)計(論文)的主要參考文獻(xiàn)37</p><p><b>  七 致謝38</b></p><p>  一 設(shè)計的目的及設(shè)計原理</p><p><b>  1 設(shè)計的目的</b></p><p>  計算機(jī)組成原理課程設(shè)計是“計算

14、機(jī)組成原理”課程的后續(xù)設(shè)計性課程,通過設(shè)計一臺模型計算機(jī),可更好地理解計算機(jī)組成原理課程的基本內(nèi)容,掌握模型計算機(jī)設(shè)計與實現(xiàn)的基本方法,培養(yǎng)實驗動手能力和創(chuàng)新意識,為以后進(jìn)行計算機(jī)應(yīng)用系統(tǒng)的設(shè)計與開發(fā)奠定基礎(chǔ)。</p><p>  設(shè)計一個8位模型計算機(jī)系統(tǒng),包括運算器,微程序控制器,存儲器,簡單輸入/輸出接口和設(shè)備,時序和啟??刂频入娐?。定義一套簡單的指令系統(tǒng),制定系統(tǒng)的設(shè)計方案和實現(xiàn)方法,畫出所設(shè)計的模型機(jī)系

15、統(tǒng)的電路原理圖。</p><p>  在計算機(jī)組成原理與系統(tǒng)結(jié)構(gòu)實驗系統(tǒng)上搭建模型計算機(jī)系統(tǒng),完成微程序控制器的實驗調(diào)試過程,并用所設(shè)計的指令系統(tǒng)編寫一個實現(xiàn)簡單功能的程序,在搭建的模型機(jī)系統(tǒng)上輸入、調(diào)試和運行程序。最后總結(jié)實驗結(jié)果,完善所設(shè)計的模型機(jī)系統(tǒng)方案和電路圖,寫出設(shè)計報告。</p><p><b>  2 設(shè)計的原理</b></p><p

16、> ?。?)基本模型機(jī)的設(shè)計與實現(xiàn)</p><p>  部件實驗過程中,各部件單元的控制信號是人為模擬產(chǎn)生的,而本次實驗將能在微程序控制下自動產(chǎn)生各部件單元控制信號,實現(xiàn)特定指令的功能。這里,計算機(jī)數(shù)據(jù)通路的控制將由微程序控制器來完成,CPU從內(nèi)存中取出一條機(jī)器指令到指令執(zhí)行結(jié)束的一個指令周期全部由微指令組成的序列來完成,即一條機(jī)器指令對應(yīng)一個微程序。</p><p>  此基本模型

17、機(jī)的設(shè)計主要包括存儲器、運算器、輸入/輸出設(shè)備、微控器和指令系統(tǒng)五個模塊組成。其中運算器主要由運算器U3、U4(74LS181)、暫存器U1、U2(74LS273)、輸出緩沖器U5(74LS245)、移位器(74LS299)以及進(jìn)位控制和判零標(biāo)志控制電路等構(gòu)成。存儲器存儲該主存儲器采用一級cache-存儲器結(jié)構(gòu)。輸入/輸出設(shè)備主要有兩種外部I/O設(shè)備,一種是鍵盤,它作為輸入設(shè)備INPUT;另一種是字符顯示塊,它作為輸出設(shè)備OUTPUT。

18、控制器它主要由控制存儲器、微指令寄存器和地址轉(zhuǎn)移邏輯三大部分組成,其中微指令寄存器分為微地址寄存器和微命令寄存器兩部分。一臺計算機(jī)中所有機(jī)器指令的集合,成為這臺計算機(jī)的指令系統(tǒng),它是計算機(jī)系統(tǒng)設(shè)計的一個核心問題,它不僅與計算機(jī)的硬件結(jié)構(gòu)緊密相關(guān),而且直接關(guān)系到用戶的使用需要。</p><p>  指令從內(nèi)存中讀出,首先放到IR指令寄存器中,再送入到微控器中進(jìn)行譯碼,再由微控器輸出各種控制信號給各功能部件,執(zhí)行相應(yīng)

19、的操作。指令和數(shù)據(jù)統(tǒng)統(tǒng)放在內(nèi)存中,從形式上看,它們都是二進(jìn)制代碼,。一般來講,取值周期從內(nèi)存中讀出的信息流是指令流,它指向控制器;而在執(zhí)行周期中從內(nèi)存中讀出的信息流是數(shù)據(jù)流,它由內(nèi)存流向運算器。指令從存儲器中得到,送到指令寄存器中。由指令得到相應(yīng)的控制信號,從控制器輸出控制信號來控制計算機(jī)的運行。(2)各模塊功能為:</p><p><b>  a.存儲器</b></p>&l

20、t;p>  存儲器的功能是保存或“記憶”各種數(shù)據(jù)。在存放到存儲器以前,他們?nèi)孔兂?或1表示的二進(jìn)制代碼。采用半導(dǎo)體器件來存放大量的0,1.一個半導(dǎo)體觸發(fā)器由于有0和1兩種狀態(tài),可以記憶一個二進(jìn)制代碼。一個數(shù)據(jù)假定用8位二進(jìn)制代碼來表示,那么就需要8各觸發(fā)器來保存這些代碼。通常,在存儲器中保存一個數(shù)的8個觸發(fā)器稱為一個存儲單元。存儲器是由許多存儲單元組成的,每一個存儲單元都有編號,稱為地址。存儲器的所有存儲單元的總數(shù)稱為存儲器的存

21、儲容量。</p><p><b>  b.運算器</b></p><p>  運算器就好像是一個由電子線路構(gòu)成的算盤,它的主要功能就是進(jìn)行加、減、乘、除等運算。還可以進(jìn)行邏輯運算。電子器件的特性,計算機(jī)中通常采用二進(jìn)制數(shù)。其運算規(guī)律非常簡單。0+0+0,0+1=1,1+0=1,1+1=10,0×0=0,0×1=0,1×0=0,1×

22、;1=1。</p><p>  該模塊中算術(shù)運算是由2片74LS181構(gòu)成,是運算器的核心。74LS181功能表如表1-1所示</p><p>  表1-1 74LS181功能表</p><p>  進(jìn)位輸入信號來自于兩個方面:其一對運算器74LS181的進(jìn)位輸出/CN+4進(jìn)位倒相所得CN4;其二由移位寄存器74LS299的選擇參數(shù)S0、S1、AQ0、AQ7決定所

23、得,移位寄存器74LS299主要用于帶進(jìn)位左、右移位操作。</p><p><b>  c.控制器</b></p><p>  控制器是計算機(jī)中發(fā)號施令的部件,它控制計算機(jī)的各部件有條不紊的進(jìn)行工作。更具體地講,控制器的任務(wù)就是從內(nèi)存中取出解題步驟加以分析,然后執(zhí)行某種操作。</p><p>  d.輸入/輸出設(shè)備 </p>&l

24、t;p>  本系統(tǒng)有兩種外部I/O設(shè)備,一種是鍵盤,它作為輸入設(shè)備INPUT;另一種是字符顯示塊,它作為輸出設(shè)備OUTPUT。</p><p><b>  e.指令系統(tǒng)</b></p><p>  指令系統(tǒng)控制計算機(jī)系統(tǒng)有條不紊的工作</p><p><b>  f.寄存器介紹 </b></p><

25、;p> ?、僦噶罴拇嫫饔脕肀4娈?dāng)前正在執(zhí)行的一條指令。當(dāng)執(zhí)行一條指令時,先把它從內(nèi)存取到緩沖寄存器中,然后再傳送到指令寄存器。指令劃分為操作碼和地址碼字段,由二進(jìn)制構(gòu)成,為了執(zhí)行任何一條給定的指令,必須對操作碼進(jìn)行測試P(1),通過節(jié)拍脈沖T4的控制以便識別所要求的操作?!爸噶钭g碼器”根據(jù)指令中的操作碼進(jìn)行譯碼,強(qiáng)置微控器單元的微地址,使下一條微指令指向相應(yīng)的微程序首地址。</p><p>  ②數(shù)據(jù)寄存器

26、用來存放從內(nèi)存中讀出的數(shù)據(jù)或是從輸入設(shè)備輸入的數(shù)據(jù),然后將數(shù)據(jù)送到運算器等功能部件,起了暫存的作用。</p><p> ?、跴C為指令指針計數(shù)寄存器,用來存放下一條將要執(zhí)行指令的地址。</p><p> ?、躍P為堆棧指針計數(shù)寄存器,用來在堆棧尋址方式中指示棧頂指針的位置。</p><p> ?。?)帶移位運算的模型機(jī)的設(shè)計與實現(xiàn)</p><p&

27、gt;  在基本模型機(jī)的基礎(chǔ)上搭接移位控制電路,實現(xiàn)移位控制運算。實驗中新增4條移位運算指令:RL(左環(huán)移)、RLC(帶進(jìn)位左環(huán)移)、RR(右環(huán)移)、RRC(帶進(jìn)位右環(huán)移),其指令格式如下:</p><p><b>  操作碼</b></p><p>  RR 01010000</p><p>  RRC 01100000</p

28、><p>  RL 01110000</p><p>  RLC 10000000</p><p>  以上4條指令都為單字長(8位)。</p><p>  RR為將R0寄存器中的內(nèi)容循環(huán)右移1位。</p><p>  RRC為將R0寄存器中的內(nèi)容帶進(jìn)位右移1位,它將R0寄存器中的數(shù)據(jù)右邊第1位移入進(jìn)位,同時

29、將進(jìn)位寄存器的數(shù)移至R0寄存器的最左位。</p><p>  RL為將R0寄存器中的數(shù)據(jù)循環(huán)左移1位。</p><p>  RLC為將R0寄存器中的數(shù)據(jù)帶進(jìn)位循環(huán)左移1位。</p><p>  為了向RAM中裝入程序和數(shù)據(jù),檢查寫入是否正確,并能啟動程序執(zhí)行,還設(shè)計了3個控制臺操作微程序。</p><p>  存儲器讀操作(KRD):撥動總清

30、開關(guān)CLR后,控制臺開關(guān)SWB,SWA為“00”時,按START啟動紐,可對RAM連續(xù)手動讀操作。</p><p>  存儲器寫操作(KWE):撥動總清開關(guān)CLR后,控制臺開關(guān)SWB,SWA置為“01”時,按動START啟動紐可對RAM進(jìn)行連續(xù)手動寫入。</p><p>  啟動程序:撥動總清開關(guān)CLR后控制臺開關(guān)SWB,SWA置為“11”時,按動啟動鍵,即可轉(zhuǎn)入到第01號“取址”微指令&

31、lt;/p><p>  上述三條控制臺指令用兩個開關(guān)SWB、SWA的狀態(tài)來設(shè)置,其定義如下表1-2:</p><p><b>  表1-2</b></p><p><b>  二 總體設(shè)計</b></p><p>  本次實驗將能在微程序控制下自動產(chǎn)生各部件單元的控制信號,實驗特定指令的功能。在制定設(shè)計

32、方案的時候,首先要理解所設(shè)計的模擬機(jī)各個部件的作用及功效,弄清楚他們可以干什么,怎么樣去干。這樣才能更好的完成設(shè)計達(dá)到我們設(shè)計的目的和通過實驗所要繼續(xù)深化的知識點。</p><p>  計算機(jī)數(shù)據(jù)通路的控制是由微程序控制器來實現(xiàn)的,CPU從內(nèi)存中取出一條機(jī)器指令到指令執(zhí)行結(jié)束的一個指令周期全部由微指令組成的序列來完成,即一條機(jī)器指令對應(yīng)一個微程序。而每一條微指令都是由我們自己根據(jù)CPU要完成的功能設(shè)計的??傮w設(shè)計

33、框圖如下:</p><p>  實驗儀的總體結(jié)構(gòu)框圖(圖1-1)</p><p> ?。?)控制信號說明:</p><p>  1.S3,S2,S1,S0 有微程序控制器輸出的ALU操作選擇信號,以控制執(zhí)行16種算術(shù)操作或16種邏輯操作中的一種操作。</p><p>  2.M 微程序控制器輸出的ALU操作方式選擇信號端,M=0執(zhí)行算術(shù)操作;

34、M=1執(zhí)行邏輯操作。</p><p>  3./CN 微程序控制器輸出的進(jìn)位標(biāo)志信號。/CN=0表示ALU運算時最低位加進(jìn)位1;/CN=1則表示無進(jìn)位。</p><p>  4.ALU-BUS 微程序控制器的輸出信號,控制運算器的運算結(jié)果是否送到總線BUS,低電平有效。</p><p>  5.LDDR1 微程序控制器的輸出信號,控制把總線上的數(shù)據(jù)打入運算暫存器DR

35、1。</p><p>  6.LDDR2 微程序控制器的輸出信號,控制把總線上的數(shù)據(jù)打入運算暫存器DR2。</p><p>  7.R-BUS 微程序控制器的輸出信號,控制寄存器R0的內(nèi)容是否送到總線BUS,低電平有效。</p><p>  8.LDR 微程序控制器的輸出信號,控制把總線上的數(shù)據(jù)打入寄存器R0。</p><p>  9.PC-

36、BUS 微程序控制器的輸出信號,控制程序計數(shù)器的內(nèi)容是否送到總線BUS,低電平有效。</p><p>  10.LDPC 微程序控制器的輸出信號,控制PC加1。</p><p>  11.LDAR 微程序控制器的輸入信號,將程序計數(shù)器的內(nèi)容打入到地址寄存器AR中,產(chǎn)生RAM的地址。</p><p>  12.LDIR 微程序控制器的輸出信號,控制把總線上的數(shù)據(jù)(指令

37、)輸入到指令寄存器IR中。</p><p>  13.SW-BUS 微程序控制器的輸出信號,控制8位數(shù)據(jù)開關(guān)SW7-SW0的開關(guān)量是否送到總線,低電平有效。</p><p>  14.OUT 微程序控制器的輸出信號,控制和數(shù)據(jù)總線上的數(shù)據(jù)是否送到發(fā)光二極管上,低電平有效。</p><p>  (2)框圖部件概述:</p><p>  1.運算

38、器(使用可編程器件74LS181實現(xiàn)):運算器是用來完成各種運算的部件,通常將運算分成算術(shù)運算和邏輯運算兩大類:算術(shù)運算是指需要考慮進(jìn)位的加、減、乘、除運算。邏輯運算是指位對位的運算。運算器中除了包含一個能完成算術(shù)和邏輯運算功能的算術(shù)邏輯運算單元(ALU)之外,還要有可存放參加運算的操作數(shù)和運算結(jié)果的寄存器,以及在它們之間傳送數(shù)據(jù)的通道。</p><p>  2.微程序控制器:控制器是整個系統(tǒng)的指揮中心,由它向系

39、統(tǒng)內(nèi)的各個部件發(fā)出各種控制命令,使系統(tǒng)構(gòu)成一個有機(jī)的整體。通常將運算器和控制器合起來稱之為“中央處理器”簡稱CPU。</p><p>  3.存儲器:存儲器主要由6116芯片及相應(yīng)的緩沖芯片和控制線路實現(xiàn)的。存儲器是用來存放各類信息的部件,所有能在機(jī)內(nèi)運行的程序和所需的數(shù)據(jù)都要存放在存儲器中,通常被稱作主存儲器或內(nèi)存儲器。一般說來,主存儲器的容量越大,計算機(jī)功能越強(qiáng)。通常將CPU和主存儲器合起來稱之為計算機(jī)的“主

40、機(jī)”。</p><p>  4.簡單輸入/輸出設(shè)備:輸入由鉛筆開關(guān)實現(xiàn),輸出由發(fā)光晶體管組成。輸入設(shè)備是用來完成輸入功能的部件。所有需要輸入到機(jī)內(nèi)的程序或數(shù)據(jù),都是經(jīng)輸入設(shè)備輸入的。輸出設(shè)備是用來完成輸出功能的部件,所有需要從計算機(jī)內(nèi)輸出的運算結(jié)果或在機(jī)內(nèi)運行的程序、數(shù)據(jù)均可通過輸出設(shè)備輸出。 </p><p><

41、b>  三 詳細(xì)設(shè)計</b></p><p>  1 運算器的物理結(jié)構(gòu)</p><p>  運算器模塊主要由運算器U31、U32(74LS181)、暫存器U29、U30(74LS273)、輸出緩沖器U33(74LS245)以及進(jìn)位控制和判零標(biāo)志控制電路等構(gòu)成。</p><p>  運算器物理結(jié)構(gòu)(圖3-1)</p><p>

42、  下面以8位機(jī)為例說明運算器模塊的工作原理:該模塊中算術(shù)運算是由2片74LS181(U31、U32)構(gòu)成,它是運算器的核心。它可以對兩個8位的二進(jìn)制數(shù)進(jìn)行多種算術(shù)或邏輯運算,具體由74LS181的功能控制條件M、CN、S3、S2、S1、S0來決定,詳見表1—1。兩個參加運算的數(shù)分別來自于暫存器U29和U30,運算結(jié)果直接輸出到輸出緩沖器U33,由輸出緩沖器發(fā)送到系統(tǒng)的數(shù)據(jù)總線上,以便進(jìn)行移位操作或參加下一次運算。</p>

43、<p>  運算器是在 ALU UNIT 單元電路上進(jìn)行 ,控制信號、數(shù)據(jù)、時序信號由實驗儀的邏輯開關(guān)電路和時序發(fā)生器提供。 SW7~SW0 八個邏輯開關(guān)用于產(chǎn)生數(shù)據(jù),由BUSSW→控制發(fā)送到總線上。DR1,DR2 為運算暫存器 ,LDDR1,LDDR2為運算暫存器的輸入控制信號,將總線上的數(shù)據(jù)輸入到暫存器 DRl,DR2;通過 S3、S2、Sl、S0、M、/Cn 的選擇,可實現(xiàn)對 ALU 算術(shù)操作和邏輯操作。在BUSALU

44、→控制信號作用下將運算結(jié)果送到總線 BUS上。</p><p>  S3、S2、S1、S0、M、/Cn、LDDR1、 LDDR2、BUSALU→信號、BUSSW→信號,本次實驗中這些控制信號與對應(yīng)邏輯開關(guān)都已接好,由邏輯開關(guān)模擬這些控制信號 。 LDDR1、LDDR2由T4 信號進(jìn)行定時。當(dāng)T4信號上升沿到來時 LDDR1、LDDR2 才起作用。</p><p>  2 存儲器的組成與說明

45、</p><p>  主存儲器單元電路主要用于存放實驗機(jī)指令,它的數(shù)據(jù)總線掛在外部數(shù)據(jù)總線EXD0~EXD7上。它的地址總線由地址寄存器單元的地址寄存器74LS245(U37)給出,地址值由8個LED燈LAD0~LAD7顯示,高電平亮,低電平滅;在手動方式下,輸入數(shù)據(jù)由8位數(shù)據(jù)開關(guān)KD0~KD7提供,并進(jìn)一三態(tài)門74LS245(U51)連至外部數(shù)據(jù)總線EXD0~EXD7,實驗時將外部數(shù)據(jù)總線的EXD0~EXD7用

46、8芯排線練到內(nèi)部數(shù)據(jù)總線BUSD0~BUSD7,分時給出地址和數(shù)據(jù)。它的讀信號直接就地;它的寫信號和片選信號由寫入方式確定。該存儲器中機(jī)器指令的讀寫分別控制CPU的P1.2提供,片選信號由控制CPU的P1.1提供。</p><p>  由于地址寄存器為8位,故接入6264的地址為A0~A7,而高4位A8~A12接地,所以其實際使用容量為256字節(jié)。6264有控制線:CS1 第一片選線,CS2第二片選線,OE 讀線

47、,WE 寫線。CS1 片選線由W/R控制,CS2直接+5V.</p><p>  信號線LDAR由開關(guān)LDAR提供,手動方式實驗時,跳線器LDAR撥在左邊,脈沖信號T3由實驗機(jī)上時序電路模式TS3提供,實驗時只需J22跳線器連上即可,T3的脈沖寬度可調(diào)。原理圖如下:</p><p>  (圖3-2) 存儲器原理圖</p><p>  3 指令系統(tǒng)的設(shè)計與格式分析&

48、lt;/p><p>  1 指令系統(tǒng)的設(shè)計與格式:</p><p> ?。?)數(shù)據(jù)格式 模型機(jī)規(guī)定采用定點補(bǔ)碼表示法表示數(shù)據(jù),且字長為8位,其格式如下:</p><p><b>  表3-1</b></p><p>  D7 D6 ~~ D0</p><p&

49、gt;  其中第7位為符號位,數(shù)值表示范圍是:-1≤X<1。 </p><p>  (2)指令格式 本模型機(jī)共有13條基本指令,其中算術(shù)運算類指令4條(ADD、SUB、MOVE、CLR),訪問內(nèi)存指令和程序控制指令4條(STA、LDA、JMP、BZC),I / O指令2條(IN、OUT),位操作指令3條(AND、OR、XOR),各指令格式如下所示。</p><p>  1) 算術(shù)邏輯指

50、令 設(shè)計4條算術(shù)運算指令(ADD、SUB、MOVE、CLR)并用單字節(jié)表示,尋址方式采用寄存器直接尋址,其格式如下: 表3-2</p><p>  D7 ~ D4 D3 D2 D1 D0 </p><p>  其中,OP—CODE為操作碼,RS為源寄存器,RD為目的寄存器,并規(guī)定:</p><p><b>  表

51、3-3 </b></p><p>  2) 訪問內(nèi)存指令和程序控制指令 模型機(jī)設(shè)計訪問內(nèi)存指令和程序控制指令4條(存數(shù)STA、取數(shù)LDA、無條件轉(zhuǎn)移JMP、有進(jìn)位轉(zhuǎn)移指令BZC)。指令格式為: </p><p><b>  表3-4</b></p><p>  D7 D6 D5 D4 D3 D2 D1

52、 D0</p><p>  其中,OP—CODE 為操作碼,RD為目的寄存器地址(LDA、STA 指令使用)。D為位移量(正負(fù)均可),M為尋址模式,其定義如下:</p><p><b>  表3-5 </b></p><p>  本模型機(jī)規(guī)定變址RI指定為寄存器R2。 </p><p>  3) I / O指令

53、輸入IN和輸出OUT指令采用單字節(jié)指令,其格式如下:</p><p><b>  表3-6</b></p><p>  D7 ~ D4 D3 D2 D1 D0</p><p>  addr=01時,選中鍵盤作為輸入設(shè)備;</p><p>  addr=10時,選中字符顯示器作為輸出設(shè)備。&

54、lt;/p><p><b> ?。?)預(yù)設(shè)指令:</b></p><p>  A: IN為單字節(jié)長指令,含義是將輸入設(shè)備輸入的數(shù)據(jù)放入R0中</p><p>  指令格式: IN R0, INPUTDEVICE</p><p>  指令功能:“INPUTDEVICE”->R0</p><p> 

55、 B: OUT為單字節(jié)長指令,含義是根據(jù)指令提供的地址,將內(nèi)存中的數(shù)據(jù)取出由數(shù)碼管進(jìn)行顯示。</p><p>  指令格式:OUT Bus,(ADD)</p><p>  指令功能:將內(nèi)存中的ADD為地址的數(shù)據(jù)讀到總線上。</p><p>  C:STA為雙字節(jié)長指令,第二個字節(jié)是要存放的地址,含義是將R0中的內(nèi)容存儲到第二字為地址的內(nèi)存單元中。</p>

56、<p>  指令格式:STA(ADD),R0</p><p>  指令功能:將R0寄存器的內(nèi)容存到以ADD為地址的內(nèi)存單元中。</p><p>  D:LDA為雙字節(jié)指令,含義是將內(nèi)存單元的地址存儲于R0中。</p><p><b>  指令格式:LDA </b></p><p>  指令功能:RAM-&g

57、t;R0</p><p>  E: JMP為雙字節(jié)長指令,含義是使程序跳轉(zhuǎn)到指定的地址執(zhí)行。</p><p>  指令格式:JMP (jmp)</p><p>  指令功能:RAM->PC</p><p>  F:BZC為單字長指令,其中M為尋址模式字段,D為偏移地址。</p><p>  指令格式:BZC M

58、,D</p><p>  指令功能:當(dāng)CY=1或ZI=1,E->PC</p><p>  G:CLR系統(tǒng)總清開關(guān),低電平有效。</p><p>  指令格式:CLR R0</p><p>  指令功能:R0=0 </p><p>  H:MOV是雙字節(jié)長指令,第二字節(jié)是要存放的間接地址,含義是將R0中的內(nèi)容存

59、儲到第二字為間接地址的內(nèi)存單元中。</p><p>  指令格式:MOV AX,R0</p><p>  指令功能:(AX)=R0</p><p>  I:CMP比較指令,與SUB指令一樣執(zhí)行減法操作,但不保存結(jié)果,只是根據(jù)結(jié)果設(shè)置條件標(biāo)志位。</p><p>  指令格式:CMP OPR1,OPR2</p><p>

60、  指令功能:(OPR1)-(OPR2)</p><p>  J:SUB指令(subtract byte or word)字節(jié)或字相減.</p><p>  指令格式:SUB DST,SRC</p><p>  指令功能:(DST)–(SRC)=(DST) 將內(nèi)存中的DST為地址單元內(nèi)數(shù)與SRC的內(nèi)容相減結(jié)果送DST。</p><p>  K

61、:DEC減1指令。</p><p>  指令格式:DEC OPR</p><p>  指令功能:(OPR)-1=(OPR)</p><p>  L:HLT為空轉(zhuǎn)指令,保持執(zhí)行結(jié)束狀態(tài),并不在執(zhí)行任何操作。</p><p>  M:RL是左移指令,參加左移的數(shù)是8個位。 </p><p>  指令格式:RL AL,CL&

62、lt;/p><p>  指令功能:將AL中的數(shù)左移(CL)位</p><p>  N:RLC是帶進(jìn)位位的左移,參加左移的共有9個位</p><p>  指令格式:RLC AL,CL</p><p>  指令功能:將AL中的數(shù)帶進(jìn)位左移(CL)位</p><p>  (4)指令系統(tǒng)是設(shè)計計算機(jī)的依據(jù) ,擬訂指令系統(tǒng)將涉及基本

63、字長、指令格式、指令種類、尋址方式等內(nèi)容。</p><p>  基本字長:程序設(shè)計平臺中配置的存儲器容量為256*8,可知道基本字長定為8位。</p><p>  指令格式:指令格式可有單字長和雙字長指令兩種,在雙字長格式中,第二字節(jié)一般定義為操作數(shù)或操作數(shù)地址。</p><p>  14條指令用單字節(jié)表示,尋址方式采用寄存器直接尋址,其格式如表3-7所示:<

64、/p><p>  表3-7 算數(shù)邏輯指令格式</p><p>  D7 D6 D5 D4 D3 D2 D1 D0 </p><p>  對于預(yù)設(shè)指令可設(shè)計操作碼如下:</p><p>  表3-8 指令格式和功能 </p><p> ?。?)微

65、指令流程圖:</p><p><b>  運行:</b></p><p><b>  01 </b></p><p><b>  02</b></p><p><b>  10</b></p><p>  IN OUT

66、 STA LDA JMP BZC</p><p>  10 11 12 13 14 15</p><p>  01 01</p><p><b>

67、;  01</b></p><p>  01 01 </p><p><b>  01</b></p><p>  CLR MOV CMP SUB DEC HLT</p><p>  16

68、 17 18 19 20 21 </p><p>  01 01</p><p><b>  01</b></p><p>  01 01</p><p>  RL RLC<

69、;/p><p>  22 23</p><p>  01 01</p><p><b>  2指令格式分析:</b></p><p><b>  (1)微指令格式表</b></p><p>  表3-9:微指令格式表</p>

70、<p><b>  24位代碼的含義:</b></p><p>  S3,S2,S1,S0,M,Cn: 為運算器74LS181芯片的控制信號,詳見74LS181功能表1-1。</p><p>  WE :為W/R信號對RAM和OUT進(jìn)行寫操作,高電平為寫有效。 </p><p>  B1,B0 : 為對外部設(shè)備(RAM,OUTPU

71、T,INPUT)地址進(jìn)行譯碼,B1B0=00時,INPUT(即SWB)選中;B1B0=01時,RAM(即CE)選中;B1B0=10時, OUTPUT(即LEDB)選中,B1B0=11時,外部設(shè)備都不選中。</p><p>  A字段: 表3-10</p><p>  LDRi:寄存器輸入選中,具體選擇同指令寄存器(IR)的最低2位(I1,I0)配合,當(dāng)I1,I0=00時

72、為輸入到R0寄存器;I1,I0=01時為R1;I1,I0=10時為R2。 </p><p>  LDDR1:暫存器DR1選中。 </p><p>  LDDR2:暫存器DR2選中。 </p><p>  LDIR:指令寄存器IR選中。 </p><p>  LOAD:總線數(shù)據(jù)直接裝載到PC計數(shù)器。 </p><p> 

73、 LDAR:地址寄存器AR選中。 </p><p>  B字段: 表3-11</p><p>  RS-B:為源寄存器輸出選中。具體選擇同指令寄存器(IR)的3,4位(I3,I2)配合,當(dāng)I3,I2=00時為輸入到R0寄存器;I3,I2=01時為R1;I3,I2=10時為R2。 </p><p>  RD-B:為目的寄存器輸出選中。具體選擇

74、同指令寄存器(IR)的最低2位(I1,I0)配合,當(dāng)I1,I0=00時為輸入到R0寄存器;I1,I0=01時為R1;I1,I0=10時為R2。 </p><p>  RI-B:為變址寄存器選中。本機(jī)定固定為R2 。 </p><p>  299-B:移位寄存器輸出選中。 </p><p>  ALU-B:邏輯運算單元結(jié)果輸出。 </p><p&g

75、t;  PC-B :PC計數(shù)器輸出。 </p><p>  C字段: 表3-12</p><p>  P(1):分支判斷1,和指令寄存器(IR)的高四位(IR7-IR4)作為測試條件??煞?6個分支。 </p><p>  P(2):分支判斷2,和指令寄存器(IR)的三四位(IR3,IR2)作為測試條件,有4個分支。 </p>&

76、lt;p>  P(3):分支判斷3,和CY或ZI作為測試條件,有兩個分支。 </p><p>  P(4):分支判斷4,和開關(guān)SWB,SBA作為測試條件,有4個分支。用于控制臺控制區(qū) (讀程序,寫程序,和運行程序) </p><p>  AR:進(jìn)行算術(shù)運算時是否影響進(jìn)位和判零標(biāo)志的控制位。 選中時進(jìn)行帶進(jìn)位運算。 </p><p>  LDPC:為PC計數(shù)信號

77、選中。 </p><p>  UA5……UA0: 為下一步微地址。</p><p>  4 微程序控制器的邏輯機(jī)構(gòu)及功能</p><p><b> ?。?)邏輯結(jié)構(gòu):</b></p><p>  采用微程序控制方式的控制器稱為微程序控制器。所謂微程序控制方式是指微命令不是由組合邏輯電路產(chǎn)生的,而是由微指令譯碼產(chǎn)生。一條機(jī)

78、器指令往往分成幾步執(zhí)行,將每一步操作所需的若干位命令以代碼形式編寫在一條微指令中,若干條微指令組成一端微程序,對應(yīng)一條及其指令。在設(shè)計CPU時,根據(jù)指令系統(tǒng)的需要,事先編制好各段微程序 ,且將它們存入一個專用存儲器中。微程序控制器由指令寄存器IR、程序計數(shù)器PC、程序狀態(tài)字寄存器PSW、時序系統(tǒng)、控制存儲器CM、微指令寄存器以及微地址形成電路。微地址寄存器等部件組成。執(zhí)行指令時,從控制存儲器中找到相應(yīng)的微程序段,逐次取出微指令,送入微指

79、令寄存器,譯碼后產(chǎn)生所需微命令,控制各步操作完成。微控制器結(jié)構(gòu)框圖如下:它由控制存儲器、微地址寄存器、微命令寄存器和地址轉(zhuǎn)移邏輯幾部分組成。微地址寄存器和微命令寄存器兩者的總長度即為一條微指令的長 度,二者合在一起稱為微指令寄存器。</p><p>  圖3-3 微程序控制器原理圖</p><p>  (2)微程序控制器功能:</p><p&g

80、t;  5 微程序的設(shè)計與實現(xiàn)</p><p> ?。?)微程序流程圖:</p><p><b>  運行 </b></p><p><b>  01</b></p><p><b>  02</b></p><p><b>  10</

81、b></p><p>  IN ADD STA OUT JMP</p><p>  10 11 12 13 14</p><p>  03 07

82、 19 1C</p><p><b>  01 </b></p><p>  04 0F 1A</p><p><b>  01</b></p><p>  05

83、 1B</p><p>  01 </p><p><b>  06</b></p><p><b>  01</b></p><p><b>  01 </b></p><p>  RR RRC

84、 RL RLC </p><p>  15 16 17 18</p><p>  1D 1F 21 23</p><p>  1E 20 22

85、 24</p><p>  01 01 01 01</p><p><b>  控制臺:</b></p><p><b>  00</b></p><p><b>  08</b></p>

86、<p>  WRITE(01) READ(00) RUN(11)</p><p>  09 08 0B</p><p>  0C 0A

87、</p><p><b>  01</b></p><p>  0D 0E</p><p><b>  微程序流程圖3-4</b></p><p> ?。?)微指令代碼 表3-13 微指令代碼表</p><p&

88、gt;<b> ?。?)微程序設(shè)計:</b></p><p>  監(jiān)控軟件詳細(xì)說明如下:</p><p>  地址內(nèi)容助記符</p><p>  0000 00000000 0000IN R0;輸入R0</p><p>  0000 00010001 0000ADD[0DH];R0[0

89、DH]->[40H]</p><p>  0000 00100000 1101</p><p>  0000 00111000 0000RLC</p><p>  0000 01000000 0000 IN</p><p>  0000 01010110 0000 RRC</p>&

90、lt;p>  0000 01100111 0000 RL</p><p>  0000 01110010 0000 STA[0EH]</p><p>  0000 1000 0000 11110</p><p>  0000 10010011 0000OUT[0EH]</p><p>  000

91、0 10100000 1110</p><p>  0000 1011 0100 0000JMP 00H</p><p>  0000 11000000 0001</p><p>  0000 11010100 0000</p><p>  0000 1110

92、 ;結(jié)束存放單元</p><p>  監(jiān)控程序的16進(jìn)制文件格式:</p><p><b>  程序:</b></p><p><b>  $P0000</b></p><p><b>  $P0110</b></p><p><b>  $P

93、020D</b></p><p><b>  $P0380</b></p><p><b>  $P0400</b></p><p><b>  $P0560</b></p><p><b>  $P0670</b></p>&l

94、t;p><b>  $P0720</b></p><p><b>  $P080E</b></p><p><b>  $P0930</b></p><p><b>  $P0A0E</b></p><p><b>  $P0B40<

95、/b></p><p><b>  $P0C00</b></p><p><b>  $P0D40</b></p><p><b>  微程序:</b></p><p>  $M00088105</p><p>  $M0182ED05</p

96、><p>  $M0250C004</p><p>  $M0304E004</p><p>  $M0405B004</p><p>  $M0506A205</p><p>  $M06019A95</p><p>  $M070FE004</p><p>  $M088

97、AED05</p><p>  $M098CED05</p><p>  $M0A0EA004</p><p>  $M0B018004</p><p>  $M0C0D2004</p><p>  $M0D098A06</p><p>  $M0E080A07</p><p

98、>  $M0F018206</p><p>  $M10011004</p><p>  $M1183ED01</p><p>  $M1287ED05</p><p>  $M1399ED05</p><p>  $M149CED05</p><p>  $M151D8235</p

99、><p>  $M161F8235</p><p>  $M17218235</p><p>  $M18238235</p><p>  $M191AE004</p><p>  $M1A1BA004</p><p>  $M1B010A07</p><p>  $M1C8

100、1D104</p><p>  $M1D1E8825</p><p>  $M1E019805</p><p>  $M1F20882D</p><p>  $M20019805</p><p>  $M21228815</p><p>  $M22019805</p><p

101、>  $M2324881D</p><p>  $M24019805</p><p><b>  四.系統(tǒng)調(diào)試報告</b></p><p><b>  (1)調(diào)試接線</b></p><p>  詳細(xì)的接線方法如下: </p><p>  跳線器J

102、1-J12全部撥在右邊(自動工作方式);</p><p>  跳線器J16、J18、J23、J24全部撥在左邊;</p><p>  跳線器J13-J15、J19、J25全部撥在右邊;</p><p>  跳線器J20-J22、J26、J27連上短路片;</p><p>  UJ1連UJ2,JSE1連JSE2,SJ1連SJ2;</p&g

103、t;<p>  MBUS連BUS2;</p><p>  REGBUS連BUS5;</p><p>  PCBUS連EXJ2;</p><p>  ALUBUS連EXJ3;</p><p>  ALUO1連BUS1;</p><p><b>  EXJ1連BUS3</b></p

104、><p>  ALUO2連BUS4。</p><p><b>  (2)運行實現(xiàn):</b></p><p><b> ?、?單步運行程序</b></p><p>  A 使編程開關(guān)處于“運行”的狀態(tài),“運行方式”開關(guān)置為“單步”狀態(tài),“運行控制”開關(guān)置為“運行”狀態(tài)。</p><p&

105、gt;  B 撥動總清開關(guān) (0->1),即單步運行一條指令。PC計數(shù)器清零,程序首地址為00H。</p><p>  C 按動“啟動運行”開關(guān),即單步運行一條微指令。對照程序流程圖,觀察位地址顯示燈是否和流程一致。</p><p><b> ?、?連續(xù)運行程序</b></p><p>  A “編程開關(guān)”置“運行”狀態(tài),“運行方式”開關(guān)

106、置“連續(xù)”狀態(tài),“運行控制”開關(guān)置“運行”狀態(tài)。</p><p>  B 撥動總清開關(guān),清微地址及程序計數(shù)器,然后按動“啟動”開關(guān),系統(tǒng)連續(xù)運行程序,如果要停止程序的運行,只需將“運行控制”開關(guān)置為“停止”狀態(tài),系統(tǒng)就停機(jī)。</p><p>  C 停機(jī)后,可檢查存數(shù)單元0BH中的結(jié)果是否正確。</p><p> ?。?)運行以上程序,調(diào)試可得結(jié)果如下:</p

107、><p>  PC=01->AR=00->RAM=00</p><p>  RAM=00->IR=00->微控器</p><p>  INPUT=02->R0=02</p><p>  PC=02->AR=01->RAM=10</p><p>  RAM=10->IR=10-

108、>微控器</p><p>  PC=03->AR=02->RAM=0D</p><p>  RAM=0D->AR=0D->RAM=40</p><p>  RAM=40->DR2=40->ALU=FF</p><p>  RO=02->DR1=02->ALU=FF</p>&

109、lt;p>  ALU=FF->RO=FE</p><p>  PC=04->AR=03->RAM=80</p><p>  RAM=80->IR=80->微控器</p><p>  RO=FE->299=FE</p><p>  299=FC->RO->FC</p><

110、p>  PC=05->AR=04->RAM=00</p><p>  RAM=00->IR=00->微控器</p><p>  INPUT=02->RO=02</p><p>  R0=01->RAM=01</p><p>  PC=06->AR=05->RAM=60</p>

111、<p>  RAM=60->IR=60->微控器</p><p>  RO=02->299=02</p><p>  299=81->RO=81</p><p>  PC=07->AR=06->RAM=70</p><p>  RAM=70->IR=70->微控器</p>

112、<p>  RO=81->299=81</p><p>  299=03->RO=03</p><p>  PC=08->AR=07->RAM=20</p><p>  RAM=20->IR=20->微控器</p><p>  PC=09->AR=08->RAM=0E</p&

113、gt;<p>  RAM=0E->AR=OE->RAM=FF</p><p>  RO=03->RAM=03</p><p>  PC=0A->AR=09->RAM=30</p><p>  RAM=30->IR=30->微控器</p><p>  PC=0B->AR=0A->

114、;RAM=0E</p><p>  RAM=0E->AR=0E->RAM=03</p><p>  RAM=03->DR1=03->ALU=FF</p><p>  ALU=FF->OUTPUT=FF</p><p>  PC=0C->AR=0B->RAM=40</p><p>

115、;  RAM=40->IR=40->微控器</p><p>  PC=0D->AR=0C->RAM=00</p><p>  RAM=00->PC=00</p><p><b>  (4)結(jié)果分析: </b></p><p>  運行以上指令,即數(shù)的輸入(IN)、數(shù)的相加(ADD)、從數(shù)的傳

116、送(STA)、數(shù)的輸出(OUT)、偽指令的程序跳轉(zhuǎn)(JMP)、 右環(huán)移(RR)、帶進(jìn)位右環(huán)移(RRL)、左環(huán)移(RL)、帶進(jìn)位左環(huán)移(RLC)單步運行,通過對照程序流程圖看指令運行,可以看到程序的執(zhí)行與設(shè)想的一致。解決調(diào)試中遇到的問題</p><p> ?、傥⒊绦驕?zhǔn)確錄入,校驗。</p><p> ?、谂啪€的線路出問題,更換排線后得以解決。</p><p> ?、蹨?zhǔn)

117、確記錄程序執(zhí)行過程。</p><p><b>  五 設(shè)計總結(jié)</b></p><p>  經(jīng)過這次課程設(shè)計,我體會到自己所學(xué)的東西太少了,很多都不知道。在這次課程設(shè)計的過程,有些很基本的知識出現(xiàn)記混淆的現(xiàn)象,通過查書及詢問同學(xué),最終明白了。</p><p>  本次課程設(shè)計我們要設(shè)計一臺微程序控制的模型機(jī),以對計算機(jī)能有一個整機(jī)的概念,完成對

118、計算機(jī)組成原理這門課程的綜合應(yīng)用,達(dá)到學(xué)習(xí)本書的作用。作為一個計算機(jī)系學(xué)生這是必需掌握的,使我們對數(shù)據(jù)選擇器,移位器,加法器,運算器,存儲器和微程序控制器,有了比較徹底的認(rèn)識。</p><p>  由于計算機(jī)設(shè)計的部件較多,結(jié)構(gòu)原理較復(fù)雜,對于我們這樣的初設(shè)計者來說感到無從下手,所以我們在整個過程中采取由淺入深,由簡單到復(fù)雜的放法,通過這次設(shè)計,使我們能清楚地了解計算機(jī)的基本組成,基本原理和設(shè)計步驟,設(shè)計思路和調(diào)

119、試步驟,最終能清晰的建立起整機(jī)概念,為獨立完成計算機(jī)設(shè)計奠定了基礎(chǔ)。</p><p>  在此次的設(shè)計中,感謝老師對我們的幫助和指導(dǎo)。</p><p>  六 設(shè)計(論文)的主要參考文獻(xiàn)</p><p>  1白中英,計算機(jī)組成原理. 科學(xué)術(shù)出版社,2006.8</p><p>  2白中英,計算機(jī)組成原理題解、題庫、實驗. 科學(xué)術(shù)出版社,2

120、006.8</p><p>  3王愛英,計算機(jī)組成與結(jié)構(gòu).清華大學(xué)出版社,1999</p><p>  4王誠,計算機(jī)組成與結(jié)構(gòu).清華大學(xué)出版社,1999清華大學(xué)出版社,1999</p><p>  5唐朔飛,計算機(jī)組成原理.高等教育出版社,1993</p><p><b>  七 致謝</b></p>

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論