2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩12頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、<p>  《EDA技術(shù)綜合設(shè)計》</p><p><b>  課程設(shè)計報告</b></p><p>  報告題目: 數(shù)字秒表 </p><p>  作者所在系部: 電子工程系 </p><p>  作者所在專業(yè):

2、 電子信息工程 </p><p>  作者所在班級: </p><p>  作 者 姓 名 : </p><p>  指導(dǎo)教師姓名: </p><p>  完 成 時

3、 間 : 2012.12.13 </p><p><b>  內(nèi) 容 摘 要</b></p><p>  近幾年EDA技術(shù)發(fā)展十分迅速,在未來的三至五年EDA技術(shù)會像單片機一樣成為社會的主流。應(yīng)用VHDL語言設(shè)計數(shù)字系統(tǒng),很多設(shè)計工作可以在計算機上完成,從而縮短了數(shù)字系統(tǒng)的開發(fā)時間。我們嘗試利用VHDL為開發(fā)工具設(shè)計數(shù)字秒表。

4、</p><p>  秒表的邏輯結(jié)構(gòu)較簡單,它主要由十進制分頻器、計數(shù)器、六進制計數(shù)器、數(shù)據(jù)選擇器、和顯示譯碼器等組成。在整個秒表中最關(guān)鍵的是如何獲得一個精確的100HZ計時脈沖,除此之外,整個秒表還需有一個啟動信號和一個清零信號,以便秒表能隨意停止及啟動。 秒表有共有6個輸出顯示,分別為百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6個計數(shù)器與之相對應(yīng),6個計數(shù)器的輸出全都為BCD碼輸出,這樣便與同顯示

5、譯碼器連接。</p><p>  關(guān)鍵詞: VHDL,數(shù)字秒表,QuartusII,時序仿真圖。</p><p><b>  目 錄</b></p><p>  一、實驗?zāi)康摹?………………………………………………………………………1</p><p>  二、硬件要求… ……………………………………………………………

6、…………1</p><p>  三、方案論證 ……………………… ……………………………………………1 </p><p>  四、模塊說明 …………………………………………………………………………1</p><p>  分頻器 ………………………………………………………………………………1</p><p>  六進制計

7、數(shù)器 ………………………………………………………………………2</p><p>  十進制計數(shù)器 ………………………………………………………………………3</p><p>  控制選擇器 …………………………………………………………………………4</p><p>  譯碼器 ………………………………………………………………………………5</p><

8、;p>  蜂鳴器模塊……………… …………………………………………………………6</p><p>  五、整體連接圖…… …………………………………………………………………7</p><p>  六、實驗步驟……… …………………………………………………………………8</p><p>  七、實驗結(jié)果……………………………… …………………………………………8

9、</p><p>  八、實驗總結(jié) ………………………………………………………………………8 </p><p>  九、參考文獻…………………………………………………………………………8</p><p><b>  三課程設(shè)計任務(wù)書</b></p><p><b>  實驗?zāi)康?lt;/b>&l

10、t;/p><p>  學(xué)習使用VHDL語言設(shè)計題目,掌握VHDL語言的編程方法以及EDA芯片的下載仿真。</p><p><b>  二、硬件要求</b></p><p> ?。?)主芯片EPF10K10LC84-4。</p><p><b>  (2)蜂鳴器。</b></p><p

11、>  (3)8位八段掃描共陰極數(shù)碼顯示管。</p><p> ?。?)二個按鍵開關(guān)(清零,開始/暫停)。</p><p><b>  三、方案論證</b></p><p><b>  四、模塊說明</b></p><p>  秒表的設(shè)計共化分為6個模塊:分頻器(cfp),六計數(shù)器(count6

12、),十計數(shù)器(count10),六選一選擇器,譯碼器。下面具體分析各個模塊的原理、內(nèi)容和功能。</p><p>  1.分頻器(cfp)</p><p>  能夠?qū)崿F(xiàn)對10MHZ 的clk時鐘信號進行分頻,從而得到0.01秒的計數(shù)脈沖信號即100hz的脈沖信號。圖1為分頻器的仿真波形圖。</p><p>  library ieee;</p><

13、p>  use ieee.std_logic_1164.all;</p><p>  entity cfp is </p><p>  port(clk: in std_logic;</p><p>  q0: buffer std_logic);</p><p><b>  end cfp; </b><

14、;/p><p>  architecture a of cfp is </p><p>  signal counter:integer range 0 to 49999; </p><p><b>  begin </b></p><p>  process(clk) </p><p

15、>  begin </p><p>  if (clk='1' and clk'event) then </p><p>  if counter=49999 then counter<=0; q0<= not q0; </p><p>  else counter<=counter+1

16、; </p><p>  end if; </p><p>  end if; </p><p>  end process;</p><p><b>  end a;</b></p><p>  圖1. 分頻器的仿真波形</p><p&g

17、t;  2.六計數(shù)器(COUNT601)</p><p>  能夠?qū)崿F(xiàn)6進制循環(huán)計數(shù),功能是秒到分和分到時的進制計數(shù)。圖2為六計數(shù)器的仿真波形圖</p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  use ieee.std_logic_unsigne

18、d.all;</p><p>  entity count6 is </p><p>  port (clk,clr,start:in std_logic;</p><p>  daout:out std_logic_vector(3 downto 0);</p><p>  cout:buffer std_logic );</p&g

19、t;<p>  end count6;</p><p>  architecture behave of count6 is </p><p>  signal temp:std_logic_vector(3 downto 0);</p><p><b>  begin</b></p><p>  proc

20、ess(clk,clr)</p><p><b>  begin</b></p><p>  if clr='1' then temp<="0000";</p><p>  cout<='0';</p><p>  elsif clk'event a

21、nd clk='1' then</p><p>  if start='1'then</p><p>  if temp="0101" then temp<="0000";</p><p>  cout<='1';</p><p>  else

22、 temp<=temp+1;cout<='0';</p><p><b>  end if;</b></p><p>  elsif start='0' then temp<=temp;cout<=cout;</p><p><b>  end if;</b>&

23、lt;/p><p><b>  end if;</b></p><p>  end process;</p><p>  daout<=temp;</p><p>  end behave;</p><p>  圖2. 六進制計數(shù)器的仿真波形</p><p>  3.

24、十進制計數(shù)器(COUNT24)</p><p>  能夠?qū)崿F(xiàn)10進制循環(huán)計數(shù),實現(xiàn)0.01秒到0.1秒、0.1秒到1秒、1秒到10秒、一分到10分的進制計數(shù),圖3為十計數(shù)器的仿真波形圖。 </p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  use

25、 ieee.std_logic_unsigned.all;</p><p>  entity count6 is </p><p>  port (clk,clr,start:in std_logic;</p><p>  daout:out std_logic_vector(3 downto 0);</p><p>  cout:buffe

26、r std_logic );</p><p>  end count6;</p><p>  architecture behave of count6 is </p><p>  signal temp:std_logic_vector(3 downto 0);</p><p><b>  begin</b><

27、/p><p>  process(clk,clr)</p><p><b>  begin</b></p><p>  if clr='1' then temp<="0000";</p><p>  cout<='0';</p><p>

28、  elsif clk'event and clk='1' then</p><p>  if start='1'then</p><p>  if temp="0101" then temp<="0000";</p><p>  cout<='1';<

29、/p><p>  else temp<=temp+1;cout<='0';</p><p><b>  end if;</b></p><p>  elsif start='0' then temp<=temp;cout<=cout;</p><p><b&

30、gt;  end if;</b></p><p><b>  end if;</b></p><p>  end process;</p><p>  daout<=temp;</p><p>  end behave;</p><p>  圖3. 十進制計數(shù)器的仿真波形<

31、;/p><p><b>  4.控制選擇器</b></p><p>  能夠?qū)崿F(xiàn)六路計數(shù)的循環(huán)選通即數(shù)碼管將顯示的數(shù)被循環(huán)選通,每一路對應(yīng)一個數(shù)碼管。其中的sel為位選輸出。圖4為其仿真波形。</p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;<

32、/p><p>  use ieee.std_logic_unsigned.all;</p><p>  entity konhzhi is</p><p>  port(clr,clk: in bit;</p><p>  dain0,dain1,dain2,dain3,dain4,dain5: in std_logic_vector(3 dow

33、nto 0);</p><p>  sel: out std_logic_vector(2 downto 0);</p><p>  daout: out std_logic_vector(3 downto 0));</p><p>  end konhzhi;</p><p>  architecture a of konhzhi is&l

34、t;/p><p>  signal temp:integer range 0 to 5;</p><p><b>  begin</b></p><p>  process(clk)</p><p><b>  begin</b></p><p>  if (clr='1

35、') then </p><p>  daout<="0000";</p><p>  sel<="000";</p><p><b>  temp<=0;</b></p><p>  elsif (clk='1'and clk'e

36、vent) then </p><p>  if temp=5 then temp<=0;</p><p>  else temp<=temp + 1; </p><p>  end if; </p><p>  case temp is</p><p>  when 0=>sel

37、<="000";daout<=dain0;</p><p>  when 1=>sel<="001";daout<=dain1;</p><p>  when 2=>sel<="010";daout<=dain2;</p><p>  when 3=>s

38、el<="011";daout<=dain3;</p><p>  when 4=>sel<="100";daout<=dain4;</p><p>  when 5=>sel<="101";daout<=dain5;</p><p><b>  e

39、nd case;</b></p><p><b>  end if;</b></p><p>  end process;</p><p><b>  end a;</b></p><p>  圖4. 控制選擇器仿真波形</p><p><b>  

40、5</b></p><p><b>  5.譯碼器</b></p><p>  能夠?qū)崿F(xiàn)將每路信號計數(shù)輸出轉(zhuǎn)換為數(shù)碼管的段碼。圖5為其仿真波形。</p><p>  library ieee; </p><p>  use ieee.std_logic_1164.all; </p><p&

41、gt;  entity ym is </p><p>  port(num:in std_logic_vector(3 downto 0); </p><p>  led:out std_logic_vector(6 downto 0)); </p><p><b>  end ym ; </b></p><p>  a

42、rchitecture a of ym is </p><p><b>  begin </b></p><p>  process(num) </p><p><b>  begin </b></p><p>  case num is </p><p>  when&qu

43、ot;0000"=>led<="0111111";</p><p>  when"0001"=>led<="0000110";</p><p>  when"0010"=>led<="1011011";</p><p> 

44、 when"0011"=>led<="1001111";</p><p>  when"0100"=>led<="1100110";</p><p>  when"0101"=>led<="1101101";</p>&l

45、t;p>  when"0110"=>led<="1111101";</p><p>  when"0111"=>led<="0100111";</p><p>  when"1000"=>led<="1111111";</p

46、><p>  when"1001"=>led<="1101111";</p><p>  when others=>led<="0000000";</p><p>  end case; </p><p>  end process; </p>&l

47、t;p><b>  end a; </b></p><p>  圖5. 譯碼器的仿真波形</p><p><b>  6.蜂鳴器控制模塊</b></p><p>  能夠?qū)崿F(xiàn)蜂鳴器的十聲報警和十秒報警。</p><p>  library ieee;</p><p>

48、;  use ieee.std_logic_1164.all;</p><p>  use ieee.std_logic_unsigned.all;</p><p>  entity fengming is</p><p>  port(clk,I:in std_logic;</p><p>  q:out std_logic</p&g

49、t;<p><b>  );</b></p><p>  end fengming;</p><p>  architecture ar of fengming is</p><p>  signal n:integer range 0 to 20;</p><p>  signal q0:std_logi

50、c;</p><p><b>  begin</b></p><p>  process(clk)</p><p><b>  begin </b></p><p>  if clk'event and clk='1' then</p><p>  i

51、f I='0' then q0<='0';n<=0;</p><p>  else if n<=19 then q0<=not(q0);n<=n+1;</p><p>  else q0<='0';n<=0;</p><p><b>  end if;</b

52、></p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  end process;</p><p><b>  q<=q0;</b></p><p><b>  

53、end ar; </b></p><p>  五、數(shù)字秒表的整體連接圖</p><p>  最將上述的模塊綜合到一起就可以實現(xiàn)功能。綜合可以用一個元件例化,也可以用原理圖連接。為了簡單我就直接連圖了。</p><p>  圖6. 綜合連接示意圖</p><p><b>  六、實驗步驟</b></p

54、><p>  (1)安裝QuartusⅡ軟件,為本項設(shè)計建立文件夾。</p><p>  (2)輸入設(shè)計項目的各個模塊,存盤檢查,編譯并仿真至無誤。</p><p> ?。?)調(diào)用底層文件的各個模塊,連接整體原理圖,進行存盤檢查,編譯仿真至無誤。</p><p> ?。?)將PC機與試驗箱進行連接選擇器件并鎖定引腳,然后進行編譯下載。</p

55、><p> ?。?)按照鎖定好的引腳進行連線,進行硬件測試,驗證仿真和測試結(jié)果。</p><p><b>  七、實驗結(jié)果</b></p><p>  通過硬件測試,得到如下測試結(jié)果:</p><p> ?。?)秒表能夠正確的計時,數(shù)碼管能夠正確顯示時鐘數(shù)字。</p><p> ?。?)每十秒鐘蜂鳴器

56、響一聲和led燈閃一下,1小時后蜂鳴器響十聲。</p><p><b>  八、總結(jié)</b></p><p>  通過這次課設(shè),對VHDL語言的使用有了進一步的加強,對所用到的軟件有了更加深刻的了解,這對我們以后的學(xué)習和工作有很大的幫助。本實驗綜合性較高,讓我們學(xué)習到了怎么去下載程序,也懂得了時鐘源的產(chǎn)生和時鐘源的分頻原理,懂得LED燈的循環(huán)顯示原理,使得我們的知識

57、更加豐富與實用。課設(shè)期間也發(fā)現(xiàn)了自己的很多不足,但是通過自己動手動腦,既增加了知識,有給了專業(yè)知識的提升。</p><p><b>  九、參考文獻</b></p><p>  [1]李國洪、胡輝、沈明山.EDA技術(shù)與實驗.機械工業(yè)出版社,2009</p><p>  [2] 閻石.數(shù)字電子技術(shù)基礎(chǔ)(第五版).高等教育出版社,2006</

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論