2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩27頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、<p>  課程名稱:電子技術(shù)課程設(shè)計</p><p>  設(shè)計題目: 智能搶答器 </p><p>  院 系: 電氣工程系 </p><p>  專 業(yè): 電力機(jī)車 </p><p>  2012年9 月1日</p><p>  

2、課 程 設(shè) 計 任 務(wù) 書</p><p>  題 目 智能搶答器 </p><p><b>  一、設(shè)計的目的</b></p><p>  電子技術(shù)課程設(shè)計是模擬電子技術(shù)和數(shù)字電子技術(shù)課程重要的實踐性教學(xué)環(huán)節(jié),是對學(xué)生學(xué)習(xí)模擬電子技術(shù)和數(shù)字電子技術(shù)的綜合性訓(xùn)練。學(xué)生根據(jù)給定的技術(shù)指標(biāo),從穩(wěn)定可靠、使用

3、方便、高性價比出發(fā)來選擇方案,運用所學(xué)過的各種電子器件和電子線路知識獨立的進(jìn)行對所選課題的設(shè)計、安裝以及調(diào)試。了解常用電子器件的類型和特性,并掌握合理選用的原則。通過查閱手冊和文獻(xiàn)資料,培養(yǎng)學(xué)生獨立分析問題和解決問題的能力。學(xué)會電子電路的安裝與調(diào)試技能,掌握電子電路的測試方法及了解印刷線路板的設(shè)計與制作方法。初步掌握工程設(shè)計基本方法和組織實踐的基本技能。學(xué)會撰寫課程設(shè)計總結(jié)報告。培養(yǎng)學(xué)生嚴(yán)肅認(rèn)真的工作作風(fēng)和嚴(yán)謹(jǐn)?shù)目茖W(xué)態(tài)度以及綜合運用理論

4、知識解決實際問題的能力。</p><p>  二、設(shè)計的內(nèi)容及要求</p><p>  運用電子技術(shù)課程學(xué)習(xí)過的編碼器、譯碼器、計數(shù)器、顯示器等電子器件設(shè)計一個可運用于參賽人數(shù)為10人以內(nèi)的智力競賽的智能搶答器。該搶答器既能對最先搶答選手進(jìn)行識別和顯示,也能對有效搶答和無效搶答進(jìn)行判別和顯示,還能進(jìn)行搶題警告并顯示最先搶題選手的編號。</p><p><b&g

5、t;  三、指導(dǎo)教師評語 </b></p><p>  四、成 績 </p><p>  指導(dǎo)教師 (簽章) </p><p>  年 月 日</p><p><b>  目錄</b></p><p&g

6、t;  一、設(shè)計任務(wù)與要求·······························2</p><p>

7、;  二、方案設(shè)計與論證·······························3</p><p>

8、  三、單元電路設(shè)計與參數(shù)計算·······················5</p><p>  1、搶答電路······&

9、#183;·····························5</p><p>  2、計時電路··

10、;··································6</p>&l

11、t;p>  3、主控制電路··································

12、7</p><p>  四、總原理圖及元器件清單·························8</p><p>  1、總原理圖

13、3;···································8</p&

14、gt;<p>  2、元件清單·································

15、···9</p><p>  五、安裝與調(diào)試····························

16、83;······9</p><p>  六、性能測試與分析························

17、3;·····10</p><p>  七、結(jié)論與心得··························

18、········14</p><p>  八、參考文獻(xiàn)·······················

19、83;············15</p><p><b>  智能搶答器設(shè)計</b></p><p><b>  一、設(shè)計任務(wù)與要求</b></p><p>  了解分析搶答器在多人智力競賽中的實際應(yīng)用

20、,明晰其在應(yīng)用中實現(xiàn)的各種基本功能,查閱課本及相關(guān)資料了解掌握基本邏輯組合電路、時序邏輯電路(其中的編碼器、譯碼器和計數(shù)器部分)、顯示器、時鐘信號產(chǎn)生電路的邏輯功能和工作原理。先分別完成搶答電路和計時電路兩個單元電路的設(shè)計,然后設(shè)計完成主控制電路以及全部電路的組合,最后進(jìn)行電路功能測試和線路優(yōu)化。</p><p>  本課程設(shè)計是要完成一臺參賽人數(shù)在10以內(nèi)的智力競賽搶答器,該智能搶答器能對最先進(jìn)行有效搶答的選手

21、進(jìn)行準(zhǔn)確的識別,并顯示其編號。在題目念完主持人點擊計時按鈕后進(jìn)行“0”到“9”的計時,并顯示計時時間,若10秒鐘計時結(jié)束后無人搶答,搶答器發(fā)出警報聲,提示搶答時間結(jié)束,此后搶答無效。若在未念完題目前有選手發(fā)出搶答信號,搶答器發(fā)出警告聲,同時顯示搶答選手的編號直到主持人清零。</p><p>  1、參賽選手依次編號為:1,2,3,4,5···(上限為9)。各有一個搶答按鈕,按鈕的編號

22、與選手的編號對應(yīng)。</p><p>  2、主持人處主控制器上設(shè)置有電源總開關(guān)、計時電路開關(guān)、計時器歸零按鈕、顯示器復(fù)位按鈕、顯示器滅燈開關(guān)。用來控制搶答開始、計時歸零以及系統(tǒng)復(fù)位等功能。</p><p>  3、該搶答器所實現(xiàn)的功能決定了其具有編碼信號、譯碼信號、數(shù)據(jù)鎖存和數(shù)據(jù)顯示的功能。在選手按下?lián)尨鸢粹o后,編碼電路將選手的編號編碼成數(shù)字信號,鎖存譯碼顯示電路完成數(shù)字信號的儲存、譯碼和

23、顯示。競賽過程中指示燈和揚聲器起輔助提示作用。</p><p>  4、選手按下?lián)尨鸢粹o,揚聲器給出音響提示,對應(yīng)指示燈亮。有效</p><p>  搶答信號被識別后,對應(yīng)選手的編號立即被鎖存,并在搶答顯示器上顯示該編號,同時系統(tǒng)封鎖鎖存電路,禁止存儲和顯示其他搶答選手的編號。若主持人未念完題目之前有選手提前搶答,搶答器將發(fā)出警告聲,搶題指示燈亮提示有選手犯規(guī),同時顯示搶題選手的編號直到主

24、持人清零復(fù)位,主持人念完題目并按下?lián)尨痖_始按鈕后,選手可正式開始搶答,同時計時開始。</p><p>  5、該搶答器有搶答時間限制,有效搶答時間設(shè)置為10秒。當(dāng)主持人按下?lián)尨鹩嫊r開始按鈕后,計時器開始計時,并顯示計時時間,在這10秒內(nèi)搶答有效。若10秒后無人搶答,結(jié)束計時指示燈亮,揚聲器發(fā)出提示音。若計時結(jié)束后有選手搶答,搶答顯示器將自動滅燈,同時無效搶答指示燈亮,提示搶答無效。</p><

25、p><b>  二、方案設(shè)計與論證</b></p><p>  搶答器中編碼電路可以用74LS147、74LS148、74HC42來設(shè)計,鎖存譯碼電路可以選用CD4511來設(shè)計,搭配BCD七段顯示器即可實現(xiàn)選手編號的顯示。搶答器的計時功能需要用到計數(shù)器,74LS161、、74LS162、74LS190、74LS290等可供選擇。用到計數(shù)器就需要CP時鐘信號,計時器按秒計時,可以選用合適

26、的石英晶體振蕩器、555構(gòu)建的振蕩電路或方波發(fā)生電路來產(chǎn)生所需的時鐘信號。搶題警告音、搶答提示音和計時結(jié)束提示音由揚聲器或蜂鳴器發(fā)出,多種顏色LED發(fā)光二級管可實現(xiàn)各種燈信號的顯示。</p><p><b>  方案一:</b></p><p>  采用74HC42型10線-4線優(yōu)先編碼器設(shè)計編碼電路;采用CD4511型4線-7段鎖存譯碼器搭配BCD七段顯示器設(shè)計譯碼

27、顯示電路;采用74LS160搭配方波產(chǎn)生電路設(shè)計定時電路;采用單片機(jī)控制蜂鳴器發(fā)不同</p><p>  頻率響聲來設(shè)計音響電路。最后組合成搶答器的全部電路。</p><p><b>  方案二:</b></p><p>  采用型74LS147型9線-4線優(yōu)先編碼器設(shè)計編碼電路;采用74LS161設(shè)計鎖存電路;采用7448搭配BCD七段顯示器

28、設(shè)計譯碼顯示電路;采用74LS160搭配555構(gòu)建的振蕩電路設(shè)計定時電路;采用74LS147設(shè)計控制電路;采用揚聲器設(shè)計音響電路。最后組合成搶答器的全部電路。</p><p>  方案一中用方波發(fā)生電路來產(chǎn)生時鐘信號和用單片機(jī)控制蜂鳴器發(fā)不同頻率的響聲一定程度上復(fù)雜了電路,方案二中用到較多器件,線路多,但大多器件是以前學(xué)過的,設(shè)計起來更為容易。本著對以前學(xué)過的知識的鞏固、應(yīng)用、驗證的想法,我選擇用方案二設(shè)計完成這

29、個智能搶答器。電路完成后用電路仿真軟件Proteus調(diào)試,并不斷優(yōu)化改進(jìn),最終得出簡明可行的設(shè)計方案。</p><p><b>  設(shè)計原理圖如下:</b></p><p>  三、單元電路設(shè)計與參數(shù)計算</p><p><b>  搶答電路 </b></p><p><b>  圖一&l

30、t;/b></p><p>  圖一為搶答器的搶答電路。一旦有參賽選手按下?lián)尨鸢粹o(B1~B9),對應(yīng)指示燈亮(D1~D9 紅色),同時給U15(74LS147型9線-4線優(yōu)先編碼器)一個低電平實現(xiàn)編碼輸入,將輸出對應(yīng)的編碼信號,此處為低電平信號,經(jīng)U3~U6的非門后變?yōu)楦唠娖叫盘?。只要U15有編碼信號輸出,U10就將輸出高電平,從而U2輸出低電平,揚聲器LS1將發(fā)出聲音提示。U14的置數(shù)控制端接地,使其始

31、終處于置數(shù)狀態(tài),只要U10輸出高電平,U14(74LS161型十六進(jìn)制計時器)就會得到一個上升沿時鐘信號,從而實現(xiàn)置數(shù)操作,因此U14的輸出將總是被置數(shù)為搶答選手的編碼信號,從而實現(xiàn)了數(shù)據(jù)的鎖存。只要“4-接滅燈信號”為高電平,U11和A1就可以譯碼顯示出搶答選手的編號。只要最先搶答的選手沒有松開搶答按鈕,U14的時鐘端輸入將維持為高電平,即使再有其他選手搶答,其搶答信號也無法被鎖存,相當(dāng)于實現(xiàn)了禁止其他選手搶答的功能。這就要求在使用該

32、搶答器時,參賽選手按下?lián)尨鸢粹o后不應(yīng)立即放開,而是直到主持人確認(rèn)并宣布搶答結(jié)束后放開。顯示器上的搶答選手的編號將一直顯示到主持人清</p><p>  零或再次計時結(jié)束系統(tǒng)自動清零。</p><p><b>  2、計時電路</b></p><p><b>  圖二</b></p><p>  圖二

33、為搶答器的計時電路,U1(555定時器)接線成多諧振蕩電路為U13(74LS161型十六進(jìn)制計數(shù)器)提供時鐘信號,R16=R17=47K,RV1=2K,C=10uF,周期T=((R16+1/2RV1)+2*(R17+1/2))*C*ln2=1 s,U13接線成11進(jìn)制計時器,并且當(dāng)計時器從“0000”計數(shù)到“1010”時,計時器狀態(tài)被鎖定為“1010”,相當(dāng)于單次計時。經(jīng)7448譯碼后,BCD七段顯示器將顯示計時是從“0”計時到“9”,

34、狀態(tài)1010經(jīng)7448譯碼顯示為字母“C”,將“C”作為計時結(jié)束信號,忽略“9”到“C”這一轉(zhuǎn)變狀態(tài)的時間,則相當(dāng)于計時時間為“0”到“9”共10秒。在這10秒內(nèi)選手搶答為有效搶答,在選手未放開搶答按鈕期間“1-接計時歸零”將得到主控制電路的低電平信號,從而將計數(shù)器歸0,選手放開搶答按鈕后計時電路將從新進(jìn)行10秒的計時,計時顯示狀態(tài)將最終鎖定為“C”,同時自動完成搶答顯示器的清零操作。在主持人打開搶答計時開關(guān)后的10秒時間內(nèi),若無人搶答

35、,計時顯示狀態(tài)最終鎖定為“C”時,同時揚聲器LS2發(fā)出聲音提示,“計時結(jié)束指示燈”亮,提示本輪搶答結(jié)束,此后搶答無效</p><p><b>  3、主控制電路</b></p><p><b>  圖三</b></p><p>  圖三為搶答器的主控制電路。利用U17(74LS147型9線-4線優(yōu)先編碼器)的優(yōu)先編碼原理來

36、實現(xiàn)在有效搶答時間內(nèi)搶答予以顯示在計時結(jié)束后搶答不予以顯示的功能。在有效搶答時間內(nèi)“4-計時結(jié)束信號”為高電平,經(jīng)U7非門后變?yōu)榈碗娖剑诖似陂g若有選手搶答,“1-有無搶答判定信號”為高電平,于是與非門U9輸出高電平,非門U2輸出加在U17的為低電平,U17輸出使“6-計數(shù)器歸零信號”為低電平,使“3-搶答滅燈信號”為高電平,從而計時器歸零,直到選手松開搶答按鈕計時器從新開始10秒的計時。由于“3-搶答滅燈信號”為高電平,所以“搶答顯示

37、器”不滅燈而正常顯示搶答選手的編號直到主持人清零或再次計時結(jié)束系統(tǒng)自動清零。若在計時結(jié)束后有選手搶答,“4-計時結(jié)束信號”為低電平,U7和“1-有無搶答判定信號”同時為U2輸入高電平,從而U2和U9都輸出低電平均為U17的有效電平,而U2的優(yōu)先權(quán)比U9高,只有U2的輸出信號被編碼輸入,固U17輸出后使“6-計數(shù)器歸零信號”為高電平,使“3-搶答滅燈信號”為低電平,計數(shù)器將不會歸零,搶答顯示器將滅燈不會顯示出此搶答選手的編號,且“無效搶答

38、指示燈”亮,提示該選手此次搶</p><p>  無效。同理可知U16的接線方式實現(xiàn)了對有效搶答選手編號的自動清零操作。</p><p>  四、總原理圖及元器件清單</p><p><b>  總原理圖</b></p><p><b>  圖四</b></p><p>  

39、從總原理圖可以看出搶答計時開關(guān)為單刀雙擲開關(guān),主持人未開始搶答計時時,開關(guān)打到左側(cè),“搶題指示燈”所在回路被接通,一旦有選手搶答,“搶題指示燈”陰極將得到低電平,揚聲器LS1發(fā)出提示音的同時“搶題指示燈”亮,警告選手搶題,搶答顯示器也將顯示最先搶題選手的編號。競賽主辦方便可以此為依據(jù)設(shè)置諸如扣分、禁止答下一題、允許答題但給低分選手加分等的懲罰性規(guī)則,從而豐富競賽規(guī)則,使競賽更具有挑戰(zhàn)性和趣味性。按鈕B10和B11分別用來對計時器進(jìn)行歸零

40、和對搶答</p><p>  顯示器進(jìn)行清零復(fù)位?!帮@示器滅燈開關(guān)”用來同時對計時顯示器和搶答顯示器進(jìn)行必要地滅燈操作。</p><p><b>  元件清單</b></p><p><b>  五、安裝與調(diào)試</b></p><p>  在電路仿真軟件Proteus中進(jìn)行模擬安裝和調(diào)試,并在不斷的

41、調(diào)試過程中進(jìn)行電路結(jié)構(gòu)的改進(jìn)和線路布置的優(yōu)化。</p><p><b>  六、性能測試與分析</b></p><p>  為了全面的測試用該方案設(shè)計的搶答器的性能,作如下真實智力競賽場景的模擬。</p><p><b>  場景一:</b></p><p>  主持人念完題目后,打開搶答計時開關(guān)開

42、始搶答計時。計時到第五秒時1號選手按下?lián)尨鸢粹o,1號選手緊按搶答按鈕等待主持人確認(rèn)。在1號選手搶答后的第二秒和第三秒,9號和5號選手先后進(jìn)行了搶答。最終主持人確認(rèn)本題1號選手搶答成功。</p><p>  用電路仿真軟件Proteus該場景的如下結(jié)果:</p><p><b>  圖五 </b></p><p>  主持人打開搶答計開關(guān)時后

43、,時鐘信號燈D12閃爍,計時開始,因為是從“0”開始計時,所以計時到第五秒,搶答計時器顯示“4”,1號選手搶答前的第五秒這一瞬間搶答器顯示器狀態(tài)如圖五所示,搶答顯示器顯示“0”,表示無人搶答。</p><p><b>  圖六</b></p><p>  1號選手按下?lián)尨鸢粹o后,搶答器顯示狀態(tài)如圖六所示。1號搶答指示燈亮,揚聲器LS1發(fā)出聲音提示有選手搶答直到主持人確

44、認(rèn)后選手松開搶答按鈕為止,搶答顯示器顯示本次搶答選手的編號“1”直到主持人清零或系統(tǒng)自動清零為止,同時計時顯示器自動歸零。</p><p><b>  圖七</b></p><p>  接下來9號和5號選相繼搶答,搶答器狀態(tài)對應(yīng)的 9號和5號搶答指示燈亮,同時揚聲器LS1接連音響提示,但其編號不予以顯示,搶答顯示器仍顯示本次搶答的最先搶答選手“1”直到主持人清零或選手

45、松開搶答按鈕后系統(tǒng)自動清零。 </p><p><b>  場景二:</b></p><p>  主持人開始搶答計時后,10秒鐘結(jié)束時無人搶答,此后7號選手進(jìn)行</p><p><b>  搶答。</b></p><p><b>  圖八</b></p><

46、;p>  10秒鐘有效搶答時間結(jié)束時搶答器狀態(tài)如圖八所示,計時顯示狀態(tài)鎖定為“C”,揚聲器LS2音響提示,“計時結(jié)束指示燈”亮,提示搶答時間結(jié)束此后搶答無效。</p><p><b>  圖九</b></p><p>  計時結(jié)束后7號選手搶答,7號搶答指示燈亮,搶答顯示器自動滅燈不予以選手編號顯示,同時“無效搶答指示燈”亮,提示本次搶答無效。</p>

47、;<p><b>  場景三:</b></p><p>  主持人還沒有念完題目,3號選手進(jìn)行了搶答,搶答器顯示3號手搶題,主持人警告3號選手后對搶答顯示器進(jìn)行清零復(fù)位,比賽繼續(xù)進(jìn)行。</p><p>  圖十 圖十一</p><p>  如圖十所示,3號選手搶答,3

48、號搶答指示燈亮,同時音響提示,搶答顯示器顯示其編號,即使3號選手立馬松開搶答按鈕,搶答顯示器仍將一直顯示其編號直到主持人清零為止,如圖十一所示。 </p><p>  圖十二 圖十三</p><p>  主持人執(zhí)行清零操作和滅燈操作,搶答器狀態(tài)分別如圖十二和圖十三所示。</p><p><

49、;b>  結(jié)論與心得</b></p><p>  前面進(jìn)行的仿真測試得出了預(yù)期結(jié)果,說明這個設(shè)計方案達(dá)到了實驗設(shè)計目的。用該方案設(shè)計的搶答器能夠?qū)尨疬x手進(jìn)行識別和顯示,也能對有效搶答和無效搶答進(jìn)行判別和顯示,還能進(jìn)行搶題警告,識別并顯示最先搶題選手。并且有自動清零功能,實現(xiàn)了搶答器的智能化。該設(shè)計的擴(kuò)展空間也很大。比如,可拓展設(shè)計實現(xiàn)對所有有效搶答進(jìn)行數(shù)據(jù)存儲的功能,從而賽事組織方可設(shè)計諸如第

50、一位搶答選手答錯后由其后搶答選手答題這樣的比賽規(guī)則,這樣就可以為搶答器的使用提供更廣闊的空間。另一方面,也不難看出所設(shè)計的這個智能搶答器還有不少有待改進(jìn)的不足之處。第一、搶答計時一般為倒計時,而該搶答器為正向計時;第二、搶答提示音、搶題警告音、搶答計時結(jié)束報警音應(yīng)該設(shè)置成不同聲音,而該搶答器的各種提示聲音都一樣。第三、該搶答器在搶答選手松開搶答按鈕后若其他選手進(jìn)行搶答,搶答顯示器上顯示的最先搶答選手的編號將變?yōu)楹竺鎿尨疬x手的編號,這是一

51、個重要的設(shè)計缺陷。</p><p>  為了完成本次課程設(shè)計,我做了以下工作。第一步,認(rèn)識課程設(shè)計。搜集參閱了一些別人的課程設(shè)計,理解一般課程設(shè)計的設(shè)計思路和設(shè)計流程,了解設(shè)計過程中要做的具體工作。第二步,設(shè)計準(zhǔn)備。因為要設(shè)計完成一個智能搶答器。首先,在了解一般搶答器所具有的功能后,我列出我的這個智能搶答器所要實現(xiàn)的功能。然后,制定出初步的設(shè)計方案,羅列出要用到的具體電子器件,翻閱相關(guān)書籍進(jìn)一步理解掌握這些主要的

52、電子元器件的工作原理和使用方法。最后,查找有關(guān)搶答器的其他設(shè)計方案并作為參考。第三步,進(jìn)行具體的電路設(shè)計。首先分別完成搶答電路和計時電路兩個單元電路的設(shè)計,然后綜合考慮要實現(xiàn)的功能完成主控制電路的設(shè)計,最后組裝成搶答器的全部電路。第四步,用電路仿真軟件完成電路調(diào)試和功能測試,并實現(xiàn)電路的優(yōu)化和改進(jìn)。第五步,編寫設(shè)計報告。</p><p>  通過獨立完成這次課程設(shè)計,鍛煉培養(yǎng)了我獨立思考和解決問題的能力。最終我熟

53、悉了工程設(shè)計的基本方法和設(shè)計流程;掌握了電路仿真軟件Proteus的基本使用方法,能完成一般電路的模擬設(shè)計和調(diào)試驗證;鞏固了學(xué)過的電子技術(shù)知識,做到了運用所學(xué)知識去解決實際問題;學(xué)會了如何編寫設(shè)計報告,如何在設(shè)計報告中全面的反應(yīng)設(shè)計中所做的實際工作和實現(xiàn)的實際功能。我也深刻的認(rèn)識到通過網(wǎng)路搜集、分辨并利用有利信息進(jìn)行實驗輔助設(shè)計得巨大好處,深刻的體會到不懼失敗和堅持不懈精神在工作中的重要性,所以我感覺這次課程設(shè)計很有意義,并且最后我得到

54、了較為滿意的設(shè)計結(jié)果,收獲了收獲成功的喜悅。</p><p><b>  八、參考文獻(xiàn)</b></p><p>  1.《數(shù)字電子技術(shù)基礎(chǔ)》第五版邏輯組合電路、時序邏輯電路、555定時器及其應(yīng)用等部分。</p><p>  2.《電子技術(shù)基礎(chǔ)》模擬部分 第五版信號處理與信號產(chǎn)生電路部分。</p><p>  3.《電子線

55、路設(shè)計·實驗·測試》。</p><p>  4.《電子技術(shù)實驗指導(dǎo)》。</p><p>  5.百度文庫及網(wǎng)絡(luò)相關(guān)資料。</p><p><b>  參考書目</b></p><p>  1、閻石主編,《數(shù)字電子技術(shù)基礎(chǔ)》第五版。高等教育出版社。</p><p>  2、康華光

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論