2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩14頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p><b>  課 程 設(shè) 計(jì)</b></p><p>  課程名稱: 組成原理課程設(shè)計(jì)報(bào)告 </p><p>  設(shè)計(jì)題目: 復(fù)雜模型機(jī)的設(shè)計(jì)與實(shí)現(xiàn) </p><p>  學(xué) 院:

2、 信息工程與自動(dòng)化學(xué)院 </p><p>  專 業(yè): 計(jì)算機(jī)科學(xué)與技術(shù) </p><p>  課 程 設(shè) 計(jì) 任 務(wù) 書</p><p>  信息工程與自動(dòng) 學(xué)院 計(jì)算機(jī)科學(xué)與技術(shù)專業(yè) 2010 年級(jí)</p>

3、<p>  課程設(shè)計(jì)題目: 復(fù)雜模型機(jī)的設(shè)計(jì)與實(shí)現(xiàn) </p><p><b>  課程設(shè)計(jì)主要內(nèi)容:</b></p><p>  從輸入設(shè)備中讀取數(shù)據(jù)X并將其存入以K為直接地址的內(nèi)存單元,計(jì)算2X,取反后加X減1將結(jié)果存入以N為間接地址的內(nèi)存單元,最后輸出。</p><p>  根據(jù)給定的模型機(jī)數(shù)

4、據(jù)通路圖及指令系統(tǒng),把設(shè)計(jì)過程,畫大體圖—匯編程序—流程圖—微指令代碼—檢查,設(shè)計(jì)順序大致如下:先畫出了程序的流程,然后寫出了匯編程序,并且寫出了機(jī)器指令,完成了總體的流程圖,根據(jù)流程圖寫出了微指令以及微指令代碼,將機(jī)器指令代碼與微指令代碼寫成了一個(gè)txt文檔用機(jī)器錄入,運(yùn)行程序,對(duì)照程序上面顯示的圖與我們畫的流程圖進(jìn)行對(duì)照,檢查來判斷這次試驗(yàn)的成功與否。</p><p><b>  課程設(shè)計(jì)報(bào)告要求:

5、</b></p><p>  1、使用校發(fā)的統(tǒng)一課程設(shè)計(jì)報(bào)告用紙。</p><p>  2、敘述微程序控制器原理、設(shè)計(jì)方法及步驟(參考理論課教材)。</p><p>  3、畫出復(fù)雜模型機(jī)數(shù)據(jù)通路圖。</p><p>  4、畫出設(shè)計(jì)的復(fù)雜模型機(jī)微程序流程圖。</p><p>  5、寫出聯(lián)機(jī)操作時(shí)的十六進(jìn)

6、制格式文件。</p><p><b>  6、調(diào)試情況。</b></p><p><b>  7、課程設(shè)計(jì)收獲。</b></p><p>  設(shè) 計(jì) 指 導(dǎo) 教 師 (簽字): </p><p>  教學(xué)基層組織負(fù)責(zé)人(簽字)

7、: </p><p>  年 月 日</p><p><b>  目 錄</b></p><p>  一、程序設(shè)計(jì)目的與基本原理………………………………… 3</p><p>  二、 課程設(shè)計(jì)任務(wù)及分析………………………………………8</p><

8、;p>  三、數(shù)據(jù)格式及指令系統(tǒng) ………………………………………9</p><p>  四、實(shí)驗(yàn)設(shè)計(jì)結(jié)果與分析………………………………………14</p><p>  五、實(shí)驗(yàn)設(shè)計(jì)小結(jié)………………………………………………14</p><p>  六、參考文獻(xiàn) ……………………………………………………14</p><p>  一、程序

9、設(shè)計(jì)目的與基本原理</p><p><b>  1、 程序設(shè)計(jì)目的</b></p><p> ?。?)綜合運(yùn)用所學(xué)計(jì)算機(jī)原理知識(shí),設(shè)計(jì)并實(shí)現(xiàn)一臺(tái)較為復(fù)雜的模型計(jì)算機(jī),以便鞏固所學(xué)的知識(shí),提高分析問題和解決問題的能力。</p><p> ?。?使用簡(jiǎn)單模型機(jī)和復(fù)雜模型機(jī)的部分機(jī)器指令,并編寫相應(yīng)的微程序,具體上機(jī)調(diào)試掌握整機(jī)概念。</p&g

10、t;<p> ?。?)掌握微程序控制器的組成原理。掌握微程序的編寫、寫入,觀察微程序的運(yùn)行。</p><p> ?。?)通過課程設(shè)計(jì),使學(xué)生將掌握的計(jì)算機(jī)組成基本理論應(yīng)用于實(shí)踐中,在實(shí)際操作中加深對(duì)計(jì)算機(jī)各部件的組成和工作原理的理解,掌握微程序計(jì)算機(jī)中指令和微指令的編碼方法,深入理解機(jī)器指令在計(jì)算機(jī)中的運(yùn)行過程。</p><p>  2、 程序設(shè)計(jì)基本原理</p>

11、<p> ?。?)實(shí)驗(yàn)?zāi)P蜋C(jī)結(jié)構(gòu)</p><p>  [1] 寄存器堆單元(REG UNIT)</p><p>  該部分由3片8位寄存器R0、R1、R2組成,它們用來保存操作數(shù)用中間運(yùn)算結(jié)構(gòu)等。三個(gè)寄存器的輸入輸出均以連入數(shù)據(jù)總線,由LDRi和RS-B根據(jù)機(jī)器指令進(jìn)行選通。</p><p>  [2] 運(yùn)算器單元(ALU UINT)</p>

12、;<p>  運(yùn)算器單元由以下部分構(gòu)成:兩片74LS181構(gòu)成了并-串型8位ALU;兩個(gè)8位寄存器DR1和DR2為暫存工作寄存器,保存參數(shù)或中間運(yùn)算結(jié)果。ALU的S0~S3為運(yùn)算控制端,Cn為最低進(jìn)位輸入,M為狀態(tài)控制端。ALU的輸出通過三態(tài)門74LS245連到數(shù)據(jù)總線上,由ALU-B控制該三態(tài)門。</p><p>  [3] 指令寄存器單元(INS UNIT)</p><p&g

13、t;  指令寄存器單元中指令寄存器(IR)構(gòu)成模型機(jī)時(shí)用它作為指令譯碼電路的輸入,實(shí)現(xiàn)程序的跳轉(zhuǎn),由LDIR控制其選通。</p><p>  [4] 時(shí)序電路單元(STATE UNIT)</p><p>  用于輸出連續(xù)或單個(gè)方波信號(hào),來控制機(jī)器的運(yùn)行。</p><p>  [5] 微控器電路單元(MICRO-CONTROLLER UNIT)</p>

14、<p>  微控器主要用來完成接受機(jī)器指令譯碼器送來的代碼,使控制轉(zhuǎn)向相應(yīng)機(jī)器指令對(duì)應(yīng)的首條微代碼程序,對(duì)該條機(jī)器指令的功能進(jìn)行解釋或執(zhí)行的工作。由輸入的W/R信號(hào)控制微代碼的輸出鎖存。由程序計(jì)數(shù)器(PC)和地址寄存器(AR)實(shí)現(xiàn)程序的取指功能。</p><p>  [6] 邏輯譯碼單元(LOG UNIT)</p><p>  用來根據(jù)機(jī)器指令及相應(yīng)微代碼進(jìn)行譯碼使微程序轉(zhuǎn)入相應(yīng)

15、的微地</p><p>  址入口,從而實(shí)現(xiàn)微程序的順序、分支、循環(huán)運(yùn)行,及工作寄存器R0、R1、R2的選通譯碼。</p><p>  [7] 主存儲(chǔ)器單元(MAIN MEM) </p><p>  用于存儲(chǔ)實(shí)驗(yàn)中的機(jī)器指令。</p><p>  [8] 輸入輸出單元(INPUT/OUTPUT DEVICE)</p>&

16、lt;p>  輸入單元使用八個(gè)撥動(dòng)開關(guān)作為輸入設(shè)備,SW-B控制選通信號(hào)。輸出單元將輸入數(shù)據(jù)置入鎖存器后由兩個(gè)數(shù)碼管顯示其值。</p><p>  *該CPU數(shù)據(jù)結(jié)構(gòu)通路框圖如下:</p><p> ?。?)機(jī)器指令的結(jié)構(gòu)和功能</p><p>  [1] 算術(shù)邏輯指令</p><p>  設(shè)計(jì)9條算術(shù)邏輯指令并用單字節(jié)表示,尋址方式采

17、用寄存器直接尋址,其格式如下:</p><p>  其中,OP-CODE為操作碼,Rs為源寄存器,Rd為目的寄存器,并規(guī)定:</p><p>  [2] 訪存指令及轉(zhuǎn)移指令</p><p>  模型機(jī)設(shè)計(jì)2條訪問指令,即存數(shù)(STA)、取數(shù)(LDA)、2條轉(zhuǎn)移指令,即無條件轉(zhuǎn)移(JMP)、結(jié)果為零或有進(jìn)位轉(zhuǎn)移指令(BZC)。其格式如下:</p><

18、;p>  其中,OP-CODE為操作碼, Rd為目的寄存器,D為位移量(正負(fù)均可),M為尋址方式,其定義如下:</p><p>  本模型機(jī)規(guī)定變址寄存器RI指定為寄存器R2。</p><p><b>  [3] I/O指令</b></p><p>  輸入和輸出指令采用單字節(jié)指令,其格式如下:</p><p> 

19、 其中,addr=01 時(shí),表示選中“輸入單元”中的開關(guān)組作為輸入設(shè)備,addr=10時(shí),表示選中“輸出單元”中的數(shù)碼管作為輸出設(shè)備。</p><p><b>  [4] 停機(jī)指令</b></p><p>  這類指令只有1條,即停機(jī)指令HALT,用于實(shí)現(xiàn)停機(jī)操作,指令格式如下:</p><p><b>  (3)指令系統(tǒng)</b

20、></p><p>  [1]本模型機(jī)共有16條基本指令。其中,算術(shù)邏輯指令9條,訪問內(nèi)存指令和程序控制指令4條,輸入輸出指令2條,其他它指令1條。表1列出了各條指令的格式、匯編符號(hào)、指令功能。</p><p>  表1 復(fù)雜模型機(jī)指令系統(tǒng)</p><p>  在上述各測(cè)試下址中未用到的位均直接保留。AR為算術(shù)運(yùn)算是否影響進(jìn)位及判零標(biāo)志控制位,其

21、為零有效。B字段中的RS_G、RD_G、RI_G分別為源寄存器選通信號(hào),目的寄存器選通信號(hào)及變址寄存器選通信號(hào),其功能是根據(jù)機(jī)器指令來進(jìn)行三個(gè)工作寄存器R0、R1及R2的選通譯碼。三字段中的其他位類似與此,均是某芯片的選通信號(hào),它們的功能都是根據(jù)機(jī)器指令來進(jìn)行相應(yīng)芯片的選通譯碼。</p><p>  為了向RAM中裝入程序和數(shù)據(jù),檢查寫入是否正確,并能啟動(dòng)程序執(zhí)行,還必須設(shè)計(jì)三個(gè)控制臺(tái)操作微程序。</p&g

22、t;<p>  存儲(chǔ)器讀操作(READ):撥動(dòng)總清開關(guān)CLR后,控制臺(tái)開關(guān)SWB、SWA為“00”時(shí),按START微動(dòng)開關(guān),可對(duì)RAM連續(xù)手動(dòng)讀操作。</p><p>  存儲(chǔ)器寫操作(WRITE):撥動(dòng)總清開關(guān)CLR后,控制臺(tái)開關(guān)SWB、SWA為“01”時(shí),按START微動(dòng)開關(guān),可對(duì)RAM進(jìn)行連續(xù)手動(dòng)寫入。</p><p>  啟動(dòng)程序:撥動(dòng)總清開關(guān)CLR后,控制臺(tái)開關(guān)SW

23、B、SWA置為“11”時(shí),按START微動(dòng)開關(guān),即可轉(zhuǎn)入到第01號(hào)“取址”微指令,啟動(dòng)程序運(yùn)行。</p><p>  上述三條控制臺(tái)指令用兩個(gè)開關(guān)SWB、SWA的狀態(tài)來設(shè)置,其定義如下:</p><p>  二、 課程設(shè)計(jì)任務(wù)及分析</p><p>  從輸入設(shè)備中讀取數(shù)據(jù)X并將其存入以K為直接地址的內(nèi)存單元,計(jì)算2X,取反后加X減1將結(jié)果存入以N為間接地址的內(nèi)存單

24、元,最后輸出。</p><p>  根據(jù)給定的模型機(jī)數(shù)據(jù)通路圖及指令系統(tǒng),把設(shè)計(jì)過程,畫大體圖—匯編程序—流程圖—微指令代碼—檢查,具體表示在流程圖上面就是間接尋址要通過地址先找地址再找數(shù)據(jù)所以要分兩次,參考書本后,決定了將2X通過一次X加X算術(shù)運(yùn)算來實(shí)現(xiàn),由于2X的取反只需要做非運(yùn)算即可在預(yù)算過程過程中通過4次來實(shí)現(xiàn),所以我想到了先計(jì)算X加X然后在將2X求非再加X最后減1的運(yùn)算流程,于是一個(gè)初步的構(gòu)想于是就這樣

25、形成了,在寫匯編程序時(shí)候我們也是忠實(shí)的按照這個(gè)構(gòu)想來寫的。設(shè)計(jì)順序大致如下:先畫出了程序的流程,然后寫出了匯編程序,并且寫出了機(jī)器指令,完成了總體的流程圖,根據(jù)流程圖寫出了微指令以及微指令代碼,將機(jī)器指令代碼與微指令代碼寫成了一個(gè)txt文檔用機(jī)器錄入,運(yùn)行程序,對(duì)照程序上面顯示的圖與我們畫的流程圖進(jìn)行對(duì)照,檢查來判斷這次試驗(yàn)的成功與否。</p><p>  三、數(shù)據(jù)格式及指令系統(tǒng)</p><p

26、><b>  1、機(jī)器指令 </b></p><p>  本設(shè)計(jì)的規(guī)定項(xiàng)目采用五條機(jī)器指令:IN(輸入)、MOV(將最終計(jì)算結(jié)果存入間接地址中)、ADD(二進(jìn)制加法)、NOT(給2X取非)、STA(將X存入直接地址中)、DEC(減1)、OUT(輸出)、HLT(保持執(zhí)行結(jié)束狀態(tài),不再執(zhí)行操作),其指令格式如下表1(前四位是操作碼):</p><p>  IN為單

27、字長指令,含義是將輸入設(shè)備輸入的數(shù)據(jù)放入R0中。ADD為雙字長指令,其含義是根據(jù)指令提供的地址將內(nèi)存中的數(shù)取出放入DR2,與DR1中的數(shù)相加,結(jié)果放在R0。NOT為單字長指令,含義是將R0的內(nèi)容取非后放入R0。 STA為雙字長指令,第二個(gè)字是要存放的地址,含義是將R0中的內(nèi)容存儲(chǔ)到第二字為地址的內(nèi)存單元中。</p><p>  DEC是單字長指令,含義是R0的內(nèi)容減1后放入R0。 MOV是雙字長指令,第二字是要存

28、放的間接地址,含義是將R0中的內(nèi)容存儲(chǔ)到第二字為間接地址的內(nèi)存單元中。OUT為雙字長指令,含義是根據(jù)指令提供的地址,將內(nèi)存中的數(shù)據(jù)取出由數(shù)碼管進(jìn)行顯示。HLT為空轉(zhuǎn)指令,保持執(zhí)行結(jié)束狀態(tài),并不在執(zhí)行任何操作。 本設(shè)計(jì)的機(jī)器指令如下:</p><p>  按照如下規(guī)定的格式,用聯(lián)機(jī)軟件建立將機(jī)器指令及微指令的二進(jìn)制代碼編輯成十六進(jìn)制的*.txt類型文件。(微指令格式中的微指令代碼為將表中的24位微代碼按從左到右分

29、成三個(gè)八位,將此三個(gè)八位二進(jìn)制代碼轉(zhuǎn)換為相應(yīng)的十六進(jìn)制數(shù)即可),并用聯(lián)機(jī)軟件的轉(zhuǎn)儲(chǔ)功能將該式文件裝載到試驗(yàn)系統(tǒng)中。</p><p>  機(jī)器指令格式說明: $PXX XX</p><p>  微指令格式說明: $MXX XXXXXX</p><p>  啟動(dòng)程序:當(dāng)控制臺(tái)開關(guān)SWB、SWA置為“1 1”時(shí),按START微動(dòng)開關(guān),即可轉(zhuǎn)入到第01號(hào)“取指”微指令,啟

30、動(dòng)程序運(yùn)行,按照數(shù)據(jù)通路可畫出機(jī)器指令的微程序流程圖如圖2所示。當(dāng)擬定“取指”微指令時(shí),該微指令的判別測(cè)試字段為P(1)測(cè)試。由于“取指”微指令是所有微程序都使用的公用微指令,因此P(1)的測(cè)試結(jié)果出現(xiàn)多路分支。本實(shí)驗(yàn)中共出現(xiàn)8路分支,分別對(duì)應(yīng)8種機(jī)器指令。占用8個(gè)固定的微地址單元。</p><p><b>  2、微程序流程圖</b></p><p><b&g

31、t;  3、微指令代碼</b></p><p>  指令寄存器(IR)用來保存當(dāng)前正在執(zhí)行的一條指令。當(dāng)執(zhí)行一條指令時(shí),先把它從內(nèi)存取到緩沖寄存器中,然后再傳送至指令寄存器。指令劃分為操作碼和地址碼字段,由二進(jìn)制數(shù)構(gòu)成。為了執(zhí)行任何給定的指令,必須對(duì)操作碼進(jìn)行測(cè)試[P(1)],通過節(jié)拍脈沖T4的控制以便識(shí)別所要求的操作?!爸噶罴拇嫫鳌?實(shí)驗(yàn)板上標(biāo)有“INS DECODE”的芯片)根據(jù)指令中的操作碼譯碼

32、結(jié)果強(qiáng)置微控器單元的微地址,使下一條微指令指向相應(yīng)得微程序首地址。</p><p>  本系統(tǒng)使用兩種外部設(shè)備,一種是二進(jìn)制代碼開關(guān),它作為輸入設(shè)備(INPUT DEVICE);另一種是數(shù)碼管,它作為輸出設(shè)備(OUPUT DEVICE)。例如:輸入時(shí),二進(jìn)制開關(guān)數(shù)據(jù)直接經(jīng)過三態(tài)門送到總線上,只要開關(guān)狀態(tài)不變,輸入的信息也不變。輸出時(shí),將輸出的數(shù)據(jù)送到數(shù)據(jù)總線BUS上,當(dāng)寫信號(hào)(W/R)有效時(shí),將數(shù)據(jù)打入輸出鎖存器

33、,并在數(shù)碼管顯示。</p><p>  4、課程設(shè)計(jì)實(shí)現(xiàn)步驟</p><p> ?。?) 按照實(shí)驗(yàn)指導(dǎo)書的接線圖連接線路。</p><p> ?。?) 聯(lián)機(jī)寫入程序(微指令),按照實(shí)驗(yàn)要求的規(guī)定格式,將機(jī)器指令及微指令二進(jìn)制代碼表編輯成十六進(jìn)制的文件</p><p><b>  機(jī)器指令</b></p>&

34、lt;p><b>  $P0000</b></p><p><b>  $P0110</b></p><p><b>  $P020F</b></p><p><b>  $P0320</b></p><p><b>  $P040F<

35、;/b></p><p><b>  $P0530</b></p><p><b>  $P0640</b></p><p><b>  $P070F</b></p><p><b>  $P0850</b></p><p>

36、<b>  $P0960</b></p><p><b>  $P0A10</b></p><p><b>  $P0B70</b></p><p><b>  $P0C10</b></p><p><b>  $P0D80</b>&

37、lt;/p><p><b>  $P0F01</b></p><p><b>  $P1011</b></p><p><b>  $P1100</b></p><p><b>  微指令</b></p><p>  $M0001811

38、0</p><p>  $M0101ED82</p><p>  $M0200C060</p><p>  $M0300E004</p><p>  $M04028201</p><p>  $M0500E006</p><p>  $M0600B007</p><p>

39、  $M0701A20F</p><p>  $M0800E00C</p><p>  $M0900E00A</p><p>  $M0A00A00B</p><p>  $M0B070A01</p><p>  $M0C028201</p><p>  $M0D00E00A</p>

40、<p>  $M0F959A01</p><p>  $M1001ED92</p><p>  $M1100ED94</p><p>  $M1200A017</p><p>  $M13018001</p><p>  $M14002018</p><p>  $M16099A0

41、1</p><p>  $M17070A10</p><p>  $M18068A11</p><p>  $M1900E01A</p><p>  $M1A00B01B</p><p>  $M1B01A21C</p><p>  $M1C959A01</p><p>

42、  $M1EF59A01</p><p>  $M1F00E008</p><p>  $M20001001</p><p>  $M2101ED83</p><p>  $M2201ED85</p><p>  $M2301A216</p><p>  $M2401ED99</p>

43、<p>  $M2501A21E</p><p>  $M2601ED7F</p><p>  $M2701ED89</p><p>  $M28018028</p><p><b> ?。?) 聯(lián)機(jī)運(yùn)行</b></p><p>  聯(lián)機(jī)運(yùn)行程序時(shí),進(jìn)入軟件界面,裝載機(jī)器指令及微指令

44、后,選擇[操作]→[調(diào)試]功能菜單打開相應(yīng)的動(dòng)態(tài)數(shù)據(jù)通路圖,按相應(yīng)功能鍵即可聯(lián)機(jī)運(yùn)行、監(jiān)控、調(diào)試程序。截圖如下:</p><p>  四、 實(shí)驗(yàn)設(shè)計(jì)結(jié)果與分析</p><p>  在經(jīng)過運(yùn)行之后可以得出以下結(jié)果:</p><p>  輸入數(shù)值 X=0000 0001 (01H)</p><p>  直接地址

45、 K=0000 1111 (0FH)</p><p>  中間結(jié)果 2X=1111 1101 (FDH)</p><p>  間接地址 N=0001 0000 (10H)</p><p>  間接地址所指直接地址 0001 0001 (11H)</p>

46、<p>  最終結(jié)果 2X取反加X減1=1111 1101(FDH)</p><p>  經(jīng)檢驗(yàn),輸出結(jié)果與計(jì)算結(jié)果相符,證明實(shí)驗(yàn)設(shè)計(jì)正確</p><p><b>  五、實(shí)驗(yàn)體會(huì)小結(jié)</b></p><p>  在這次課程設(shè)計(jì)的過程中,我基本上掌握了復(fù)雜模型機(jī)的設(shè)計(jì)與實(shí)現(xiàn)。與此同時(shí),進(jìn)一步的了解了計(jì)算機(jī)

47、系統(tǒng)各模塊的基本工作原理、各部件之間的設(shè)計(jì)與連接、微程序控制器的設(shè)計(jì)、微指令和微程序的編制與調(diào)試等過程。加深了對(duì)計(jì)算機(jī)組成原理理論課程以及上學(xué)期實(shí)驗(yàn)的鞏固。</p><p>  本次實(shí)驗(yàn)大體上分為三步。首先,是連接電路。其次,裝載課程設(shè)計(jì)指導(dǎo)書上設(shè)計(jì)的程序并測(cè)試運(yùn)行,通過觀察運(yùn)行情況和數(shù)據(jù)在總線上的流動(dòng),了解各功能部件的工作原理和工作機(jī)制以及流程圖各指令的含義。最后,自己設(shè)計(jì)程序并裝載運(yùn)行并檢驗(yàn)運(yùn)行結(jié)果。對(duì)于自己

48、要設(shè)計(jì)的程序首先根據(jù)題目要求寫出它的匯編格式,再根據(jù)這個(gè)匯編格式寫出機(jī)器指令并設(shè)計(jì)出微程序流程圖。經(jīng)過多次修改,最終實(shí)現(xiàn)設(shè)計(jì)要求。通過這次實(shí)驗(yàn)設(shè)計(jì)是我加深了對(duì)機(jī)器指令和微指令的理解和計(jì)算機(jī)計(jì)算流程的了解。</p><p>  本次課程設(shè)計(jì)中,在各部分的設(shè)計(jì)中都花費(fèi)了不少時(shí)間,特別是在微程序的調(diào)試時(shí)花費(fèi)的時(shí)間最多。首先必須對(duì)功能模塊進(jìn)行組裝和分調(diào),只有各模塊工作正常后才能進(jìn)行總調(diào)。在手動(dòng)輸入微程序指令的時(shí),正確無誤

49、的寫出各條機(jī)器指令的二進(jìn)制代碼也是一個(gè)難點(diǎn),根據(jù)電路圖連接線路也要多加注意。這就要求我們?cè)诓僮鲿r(shí)必須細(xì)心謹(jǐn)慎。在檢錯(cuò)時(shí),通過觀察模型機(jī)上的操作流向來檢查錯(cuò)誤收獲較大,模型機(jī)能比較準(zhǔn)確的看出自己出錯(cuò)的地方從而進(jìn)行更正。通過對(duì)程序進(jìn)行多次調(diào)試修改以及老師的幫助指導(dǎo)下才得到正確結(jié)果。</p><p>  由于計(jì)算機(jī)設(shè)計(jì)的部件較多、結(jié)構(gòu)原理較復(fù)雜,對(duì)于我們這樣的初設(shè)計(jì)者來說感到無從下手。在設(shè)計(jì)過程中,我們從開始的粗略的一

50、個(gè)概念,到中間的疑惑與焦慮,到解決了問題的快樂。這對(duì)于我們以后工作也有著很大的好處,培養(yǎng)了我們遇到問題,分析問題,解決問題各個(gè)方面上的能力。</p><p>  在設(shè)計(jì)中我們也學(xué)到了不少知識(shí).雖然計(jì)算機(jī)組成原理的課程設(shè)計(jì)與學(xué)習(xí)已經(jīng)結(jié)束,可我們學(xué)習(xí)之路并沒有結(jié)束,我們會(huì)繼續(xù)努力學(xué)習(xí)其相關(guān)的知識(shí),在平時(shí)的學(xué)習(xí)中,我們一定要多了解理論知識(shí),同時(shí)要結(jié)合實(shí)際操作實(shí)現(xiàn)。</p><p><b&g

51、t;  六、參考文獻(xiàn)</b></p><p>  《計(jì)算機(jī)組成原理》 高等教育出版社 唐朔飛著</p><p>  《數(shù)字邏輯》 高等教育出版社 毛發(fā)堯著 </p><p>  《計(jì)算機(jī)組成原理實(shí)驗(yàn)書》 安徽大學(xué) 周勇著</p&g

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論