2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩46頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、<p><b>  引 言</b></p><p>  濾波器是能使有用頻率信號通過且同時抑制(或大為衰減)無用頻率信號的一種電子裝置。濾波技術(shù)在計算機測控技術(shù)、通信、數(shù)據(jù)采集等領(lǐng)域均有廣泛的應(yīng)用。如在通信領(lǐng)域中,為獲得最高信噪比所設(shè)置的匹配濾波器和為減少基帶傳輸過程中的碼間串擾所設(shè)置的均衡器;在數(shù)據(jù)采集中設(shè)置的限帶抗混迭濾波和D/A轉(zhuǎn)換后的平滑濾波;以及在語音識別的研究中,為提取

2、語音頻譜而設(shè)置的帶通濾波器組等。</p><p>  一般有源濾波器都是由運算放大器和RC元件組成,通過改變RC網(wǎng)絡(luò)參數(shù)來改變頻率特性。采用運算放大器和可切換元件參數(shù)的RC網(wǎng)絡(luò),可以用同一電路組成各種頻率特性的濾波器,但對元器件的參數(shù)精度要求比較高,電路復雜,分布參數(shù)較大,截止頻率精度不高,濾波器特性一旦設(shè)定調(diào)節(jié)較為困難,因此對于一些輸入信號頻率和幅度動態(tài)范圍很寬或需靈活變換通帶并保證截止頻率精度的場合使用大為不

3、便。</p><p>  為了解決以上問題,本課題基于單片集成可編程濾波器芯片的程控濾波器設(shè)計有著極其重要的意義。當輸入信號幅度變化時,通過前級的程控增益放大模塊實現(xiàn)對增益的精確控制最終使輸出信號幅度基本保持穩(wěn)定;而對于輸入信號頻率的改變,借助單片集成可編程濾波器芯片的同時輔以簡單的外圍器件,采用編程數(shù)據(jù)來完成RC網(wǎng)絡(luò)的切換, 通過單片機編程對各種低頻信號實現(xiàn)低通,高通(帶通,帶阻以及全通)濾波處理,而且濾波的特

4、性參數(shù)如中心頻率,品質(zhì)因數(shù)等也可以根據(jù)不同的應(yīng)用場合適當進行設(shè)置。提高了濾波器的性能和指標的同時避免了傳統(tǒng)有源濾波器電路濾波特性參數(shù)精度不高、電路復雜、設(shè)計和調(diào)試麻煩等難題,可以很好的應(yīng)用于信號頻率及幅度在寬范圍內(nèi)變化的場所,操作方便,性能優(yōu)良。</p><p>  1 系統(tǒng)的功能和基本原理</p><p>  1.1 系統(tǒng)的任務(wù)及要求</p><p>  任務(wù):

5、設(shè)計并制作程控濾波器,其組成如圖1所示。放大器增益可設(shè)置;低通或高通濾波器通帶、截止頻率等參數(shù)可設(shè)置。</p><p><b>  要求: </b></p><p> ?。?)放大器輸入正弦信號電壓振幅為10mV,電壓增益為40dB,增益10dB </p><p>  圖1 程控濾波器組成框圖</p><p>  步進

6、可調(diào),通頻帶為100Hz~40kHz,放大器輸出電壓無明顯失真。</p><p>  (2)濾波器可設(shè)置為低通濾波器,其-3dB截止頻率fc在1kHz~20kHz范圍內(nèi)可調(diào),調(diào)節(jié)的頻率步進為1kHz,2fc處放大器與濾波器的總電壓增益不大于30dB, RL=1K。</p><p> ?。?)濾波器可設(shè)置為高通濾波器,其-3dB截止頻率fc在1kHz~20kHz范圍內(nèi)可調(diào),調(diào)節(jié)的頻率步進為1

7、kHz,0.5fc處放大器與濾波器的總電壓增益不大于30dB, RL=1K。</p><p> ?。?)電壓增益與截止頻率的誤差均不大于10%。</p><p> ?。?)有設(shè)置參數(shù)顯示功能。</p><p> ?。?)制作一個簡易幅頻特性測試儀,其掃頻輸出信號的頻率變化范圍是100Hz~200kHz,頻率步進10kHz。</p><p>&

8、lt;b>  1.2系統(tǒng)方案論證</b></p><p>  方案一:由單片機作為控制核心,編程控制放大器模塊實現(xiàn)增益可調(diào),濾波器模塊通過單片機控制實現(xiàn)-3dB時截止頻率fc在1kHz~20kHz范圍內(nèi)可調(diào)的高、低通濾波器的設(shè)計。設(shè)計框圖如圖2所示。</p><p>  方案二:由可編程邏輯器件FPGA作為控制核心,控制放大器模塊實現(xiàn)增益可調(diào),通過控制AD/DA模塊以及相

9、應(yīng)算法CPLD本身還將作為濾波器部分實現(xiàn)數(shù)字濾波。設(shè)計框圖如圖3所示。</p><p>  分析比較以上兩個方案,方案二FPGA實現(xiàn)數(shù)字濾波有極大的靈活性,可以在不增加任何硬件成本的基礎(chǔ)上對信號進行有效的濾波,而且可以實現(xiàn)模擬器件難以實現(xiàn)的高階濾波。但要進行高效率的濾波,對AD采樣要求有較高的采樣速</p><p>  率和時實性。數(shù)字濾波器是一個采用有限精度算法實現(xiàn)的線性非時變離散系統(tǒng),

10、</p><p>  圖2 方案一結(jié)構(gòu)圖</p><p>  圖3 方案一結(jié)構(gòu)圖</p><p>  它的設(shè)計步驟為先根據(jù)需要確定其性能指標,設(shè)計一個系統(tǒng)函數(shù)H(z)逼近所需要的技術(shù)指標,最后采用有限的精度算法實現(xiàn)。需采用DSP算法的建模和基于純數(shù)學的仿真,其數(shù)學模型無法為硬件DSP應(yīng)用系統(tǒng)直接產(chǎn)生實用程序代碼,仿真測試的結(jié)果也僅僅是基于數(shù)學算法結(jié)構(gòu)。而以往FP

11、GA所需的傳統(tǒng)的基于硬件描述語言(HDL)的設(shè)計由于要考慮FPGA的硬件的δ延時與VHDL的遞歸算法的銜接,以及補碼運算和乘積結(jié)果截取等問題,相當繁雜。方案一采用單片機作為控制核心,通過外接程控增益放大電路、模擬濾波器電路,不需要過于復雜的算法,控制簡單,易于實現(xiàn),在滿足了設(shè)計要求的同時很大程度上節(jié)省了開發(fā)時間和成本。綜合考慮以上因素實際制作時本設(shè)計采用了方案一。</p><p>  1.3系統(tǒng)總體結(jié)構(gòu)圖<

12、/p><p>  輸入信號首先接入可編程放大器,經(jīng)單片機控制放大增益后輸出作為可編程濾波器的輸入信號,從而完成對輸入信號的濾波處理。鍵盤和液晶顯示作為人機交互工具通過單片機實現(xiàn)對可編程放大器和可編程濾波器參數(shù)設(shè)置。DDS時鐘信號產(chǎn)生模塊作為可編程濾波器的時鐘源。對濾波器進行幅頻特性測試時,DDS掃頻信號產(chǎn)生模塊作為濾波器的掃頻輸入信號,此時通過一路模數(shù)轉(zhuǎn)換對濾波器輸出進行采樣,采樣數(shù)據(jù)經(jīng)可編程邏輯器件CPLD處理后將

13、各掃頻段內(nèi)峰值由兩路數(shù)模轉(zhuǎn)換在示波器上恢復出來顯示結(jié)果即為相應(yīng)濾波器幅頻特性,從而完成簡易幅頻特性測試儀的制作。單片機與CPLD通信保證了二者的同步工作。</p><p><b>  圖4 系統(tǒng)總結(jié)構(gòu)</b></p><p>  2 各功能模塊設(shè)計</p><p><b>  2.1程控放大電路</b></p&g

14、t;<p>  程控放大電路實現(xiàn)主要有以下幾種方案: 1.運放+模擬開關(guān)+電阻網(wǎng)絡(luò)。利用模擬開關(guān)切換電阻反饋網(wǎng)絡(luò),從而改變放大電路的閉環(huán)增益。此種方法所需無器件較多,電路龐大,而且精度受到限制。所以不采用本方案。2.運放+數(shù)字電位器。采用固態(tài)數(shù)字電位器來控制放大電路的增益,線路較為簡單。但現(xiàn)有的數(shù)字電位器分辨率有限,構(gòu)成的放大器精度有限。3.采用D/A轉(zhuǎn)換器來實現(xiàn)高精度可編程增益放大器。該方案的優(yōu)點是控制方便,電路比較簡單

15、,但是控制的數(shù)字量和最后的增益不成線形關(guān)系而是指數(shù)關(guān)系,造成增益調(diào)節(jié)不精確,精度下降。所以本方案沒有采用。4.集成程控增益放大器。AD603具有低漂移、低非線性、高共模抑制比和寬頻帶等優(yōu)點,易于控制。增益在 + 9~ + 41dB 時具有 9MHz 帶寬改變管腳間的連接電阻,可使增益處在上述范圍內(nèi)。由于前級輸入信號只有10mv,考慮在輸入信號與AD603之間接上一片AD620(儀表放大器,具有極高的共摸抑制比)能夠使輸入信號很好的輸入到

16、AD603中。本設(shè)計采取了這種方案。</p><p>  AD603是一種具有程控增益調(diào)整功能的專用芯片。它是一個低噪、90MHz帶寬增益可調(diào)的集成運放,如增益用分貝表示,則增益與控制電壓成線性關(guān)系,壓擺率為275V/μs。管腳間的連接方式?jīng)Q定了可編程的增益范圍,增益在-11~+30dB時的帶寬為90Mhz,增益在+9~+41dB時具有9MHz帶寬,改變管腳間的連接電阻,可使增益處在上述范圍內(nèi)。而且該集成的外圍電

17、路非常簡單,其諸多的優(yōu)點使我們最終決定使用AD603做放大電路部分。</p><p>  AD603由無源輸入衰減器、增益控制界面和固定增益放大器三部分組成。圖(6)中加在梯型網(wǎng)絡(luò)輸入端(VINP)的信號經(jīng)衰減后,由固定增益放大器輸出,衰減量是由加在增益控制接口的電壓決定。增益的調(diào)整與其自身電壓值無關(guān), 而僅與其差值VG有關(guān), 由于控制電壓GPOS/ GNEG 端的輸入電阻高達50MΩ ,因而輸入電流很小,致使片

18、內(nèi)控制電路對提供增益控制電壓的外電路影響減小。以上特點很適合構(gòu)成程控增益放大器。當“滑動臂”從左到右是可以連續(xù)移動的。當VOUT 和FDBK 兩管腳的連接不同時, 其放大器的增益范圍也不一樣。</p><p>  對于10mV的小信號,前級用精密儀表放大器AD620,該放大器有放大整形的作用, AD620是一種只用一個外部電阻就能設(shè)置放大倍數(shù)為1~1000的低功耗、高精度儀表放大器。外部電路簡單,性能穩(wěn)定。此處使

19、用AD620電路僅對輸入信號放大10dB,如圖(5)所示:</p><p>  增益計算: </p><p>  則有: </p><p>  式中G為放大倍數(shù),Rg為控制增益的電阻。</p><p>  后級采用AD603,進行可控制增益放大。如圖6所示: 增益范圍20dB到40dB

20、。</p><p><b>  計算公式: </b></p><p>  圖5 AD620組成的10dB放大電路</p><p>  圖6 AD603組成的可控增益放大電路原理圖</p><p>  圖7 程控放大總體模塊電路電路</p><p>  此處采用了多路模擬開關(guān)CC4051切換

21、電阻來改變AD603一腳(GPOS)和二腳(GENG)之間的電壓差最終達到控制AD603七腳(VOUT)輸出電壓(增益)的變化的目的。詳細電路圖如圖(7)所示。(此電路還有較大發(fā)揮空間,在原有電路基礎(chǔ)上可以將前級AD620模塊電壓放大增益適當設(shè)置大一些,后級放大30dB到40dB,那么通過模擬開關(guān)的切換,總模塊電壓增益范圍可達60dB。</p><p>  2.2基準時鐘信號產(chǎn)生電路</p><

22、;p>  該電路采用DDS芯片,可以提供很高帶寬的時鐘信號。對于DDS產(chǎn)生的信號,由于幅度比較小, 在此采用AD811寬帶運算放大器對信號進行放大。放大后的信號經(jīng)過一級跟隨器(注:一級跟隨器是很有必要的,它能夠很好的解決阻抗匹配的問題)再經(jīng)過一個正負比較器得到同頻率的方波將電平調(diào)整后即可作為濾波電路的時鐘信號。</p><p>  DDS技術(shù):DDS是直接數(shù)字頻率合成的簡稱,能直接在基準時鐘的準確相位控制下

23、獲得合成頻率輸出,具有良好的頻率分辨率和快速的變頻性能。數(shù)字合成技術(shù)使信號源變得非常輕便,且覆蓋頻率范圍寬、輸出動態(tài)范圍大、容易編程、適用性強、使用方便。DDS的基本原理是利用采樣定理,通過查表法產(chǎn)生波形。</p><p>  AD9851:AD9851是采用先進的CMOS技術(shù)生產(chǎn)的直接數(shù)字合成器。AD9851 的最高工作時鐘為180MHZ,內(nèi)部除了完整的高速DDS 外,還集成了時鐘6倍頻器和一個高速遲滯比較器。

24、集成的6倍頻器降低了外部參考時鐘頻率,僅需一個30MHZ 晶振即可。因此減小了高頻輻射,提高了系統(tǒng)的電磁兼容能力。</p><p>  DDS可以工作在串行或并行工作模式中,上電復位時默認為并行模式。在并行工作模式下,40bit 的數(shù)據(jù)可通過8位數(shù)據(jù)線分5次裝入,裝入順序為W0-W1-W2-W3-W4。輸入完40位數(shù)據(jù)后,在FQ-UD的上升沿作用下將40位數(shù)據(jù)送入DDS核心,并啟動AD9851,按設(shè)置的頻率輸出。

25、其中W0中的D0位為6倍頻的使能控制,當D0=1時,6倍頻啟用,D0=0時,6倍頻不工作;D1位為工作方式控制,當D1=1 時,工作在并行方式,D1=0時,工作在串行方式;D2位為掉電方式控制,當D2=0時,工作在非掉電方式,D2=1時工作在掉電方式;D3-D7為相位調(diào)制位(對應(yīng)相位調(diào)節(jié)位是從低位到高位);W4-W1為頻率調(diào)制字(對應(yīng)頻率調(diào)節(jié)位是從低位到高位)。輸入完5組數(shù)據(jù)后,只要FQ-UD出現(xiàn)上升沿,就自動將40位數(shù)據(jù),送入 DDS

26、 核心,并啟動AD9851按設(shè)置的頻率輸出。頻率計算公式為</p><p>  式中:Fclk為晶振時鐘源的頻率, Phase為設(shè)置的頻率調(diào)節(jié)值;Fout為輸出頻率。</p><p><b>  相位計算公式為</b></p><p>  式中:Phase為輸入的相位的調(diào)節(jié)值;P為輸出的相位值(弧度)。</p><p>

27、<b>  DDS電路圖: </b></p><p>  圖8 DDS時鐘信號產(chǎn)生電路</p><p>  圖9 AD811放大電路</p><p>  由于DDS模塊產(chǎn)生的正弦信號頻率較高(輸出電壓幅度500mv),在此采用了高速寬帶運放AD811,其增益帶寬積高達140MHz,最終能夠不失真的將DDS輸出的信號放大并送至后級處理。<

28、;/p><p>  圖10 比較器電路</p><p><b>  2.3程控濾波電路</b></p><p>  該電路主要由集成濾波芯片MAX297(低通濾波芯片),MAX262(高通濾波芯片)構(gòu)成。 </p><p>  (1)MAX262內(nèi)部結(jié)構(gòu)</p><p>  MAX262主要由放大器

29、、積分器、電容切換網(wǎng)絡(luò)(SCN)和工作模式選擇器組成。積分器、電容切換網(wǎng)絡(luò)(SCN)和工作模式選擇器分別由編程數(shù)據(jù)M0M1,F(xiàn)0~F5和Q0~Q6控制。MAX262內(nèi)部有兩個二級濾波器,濾波器A和B可以單獨使用,也可級聯(lián)成四階濾波器使用。芯片的使用非常靈活,但它們均受同一組編程數(shù)據(jù)的控制。 MAX262芯片的工作頻率為1Hz~140kHz。當時鐘頻率為4MHz,工作模式選擇為模式3時,芯片可以對140kHz的輸入信號進行濾波處理

30、。其它工作模式的最高工作頻率為100kHz。濾波器A和B可以采用內(nèi)部時鐘,也可以采用外部時鐘。外部時鐘分別從芯片的引腳CLKA、CLKB引入,對外部時鐘無占空比要求。</p><p>  (2)MAX262編程參數(shù)</p><p>  MAX262芯片有三個編程參數(shù):中心頻率f0、Q值和工作模式。中心頻率由編程數(shù)據(jù)F0~F5控制,共64個不同的二進制數(shù)據(jù),每個數(shù)據(jù)對應(yīng)一個時鐘頻率fclk與

31、中心頻率f0的比值fclk/f0。在文獻[1]的表2中給出了MAX262芯片的fclk/f0與編程數(shù)據(jù)F0~F5的對應(yīng)關(guān)系。在系統(tǒng)實現(xiàn)時,可以采用查表的方法獲得編程數(shù)據(jù)。本文采用計算的方法來形成編程數(shù)據(jù)F0~F5。Q值由編程數(shù)據(jù)Q0~Q7控制,共128個不同的二進制數(shù)據(jù),每個數(shù)據(jù)對應(yīng)一個同的Q值,最小的Q值為0.5,最大的Q值為64(如果芯片工作在模式2則可達90.5)。在文獻[1]的表3中給出了編程數(shù)據(jù)Q0~Q7與Q值的對應(yīng)關(guān)系。工作

32、模式由編程數(shù)據(jù)M0M1控制,分別對應(yīng)工作模式1、2、3和4。模式1可以實現(xiàn)低通、帶通和帶隨濾波;模式2基本與模式1相同,只是該模式可以獲得最高的Q值;模式3是唯一可以實現(xiàn)高通濾波的模式;而只有模式4才能實現(xiàn)全通濾波,它和模式3也可以實現(xiàn)低通和帶通濾波。計算公式: </p><p>  編程參數(shù)f0、Q值和工作模式確定以后,只要將相應(yīng)的編程數(shù)據(jù)裝入MAX262芯片內(nèi)部的寄存器,濾波器的類型和頻率特性也就確定了。

33、</p><p>  圖11 MAX262高通濾波電路圖</p><p><b> ?。?)MAX297</b></p><p>  MAX297為8階圓型(Elliptic)開關(guān)電容濾波器,它的滾降速度快,從通頻帶到阻帶的過渡帶可以做得很窄。通過調(diào)整外部時鐘的頻率,可完成濾波器的截止頻率調(diào)整。本設(shè)計要求頻譜分辨力為10KHz,所以每個掃頻點

34、的間隔為10KHz,以此頻點作為中心,左右各5KHz范圍之內(nèi)為有效值,所以濾波器需要5KHz的帶寬。MAX297為8階開關(guān)電容濾波器,可以實現(xiàn)截止頻率0.1~50KHz的可調(diào),很容易滿足題目的要求。其帶內(nèi)增益平坦,帶外衰減速度很快?! AX297的1管腳的clk信號可以外接一個電容實現(xiàn)截止頻率的選擇:這樣可以根據(jù)MAX297的截止頻率和clk的比值為1:50的關(guān)系確定截止頻率fc,經(jīng)過實際測試選擇120 pF可以實現(xiàn)5KHz的截止頻

35、率,滿足題目的頻譜分辨率要求。如圖2.5所示。Fc=fclk/50。</p><p>  圖12 可編程控制低通濾波器電路</p><p>  2.4 鍵盤顯示電路</p><p>  鍵盤模塊: 采用4*4小鍵盤, 如圖13。</p><p><b>  顯示模塊:</b></p><p>

36、  方案一:采用8位LED配以MAX7219顯示??刂坪唵?,調(diào)試方便, 且串行顯示占用I/O口少;但只能顯示ASCII碼,故不采用。</p><p>  方案二:采用點陣型(CAG12864B)液晶(LCD)。雖然占用I/O口多,控制復雜,但功能強大,可以顯示漢字及簡單圖形,可設(shè)計出清晰的菜單,提供全面的信息,功耗低,界面友好,控制靈活,使系統(tǒng)智能化、人性化,因此采用該方案。</p><p&g

37、t;  圖13 4x4鍵盤電路</p><p>  圖14 液晶與單片機接口電路</p><p>  2.5 DDS掃頻信號產(chǎn)生電路</p><p>  此電路與模塊二基準時鐘信號產(chǎn)生電路基本相同。用來產(chǎn)生100hz至200khz頻率步進為10khz的掃頻信號。將此信號通過所設(shè)置的濾波器后濾波輸出信號經(jīng)模數(shù)轉(zhuǎn)換并經(jīng)CPLD處理后由兩路數(shù)模轉(zhuǎn)換輸出到示波器上即為濾

38、波器幅頻特性。</p><p>  2.6 幅頻特性測試模塊</p><p>  此模塊由CPLD(MAX7000S EPM7128SLC84-10)、模數(shù)轉(zhuǎn)換(MX7821)、數(shù)模轉(zhuǎn)換(兩片AD565)組成,CPLD作為AD、DA的控制和處理模塊,同時CPLD也將和單片機進行通信以確保模塊之間同步。MX7821用來采樣濾波器輸出信號(掃頻信號經(jīng)濾波器后的輸出信號),CPLD將各個頻率段內(nèi)

39、的峰值保存下來,兩路數(shù)模轉(zhuǎn)換(AD565)則是在CPLD控制下將采樣到的峰值在示波器上很好的顯示出來(一路將保存的峰值經(jīng)數(shù)模轉(zhuǎn)換送往示波器Y軸,另一路送以遞增的數(shù)據(jù)經(jīng)AD565后產(chǎn)生與時間t成正比的線性電壓送往示波器X軸)。</p><p>  2.7 單片機控制模塊</p><p>  本模塊作為控制核心,通過編程實現(xiàn)對整個系統(tǒng)各模塊的通信和控制。</p><p>

40、;  圖15 單片機控制模塊</p><p><b>  3 軟件設(shè)計</b></p><p>  通過使用WAVE6OOO/L單片機仿真器進行前期仿真調(diào)試,通過后進行實際電路的連接,并進一步對各個參數(shù)進行測試。編程語言采用keil c51,因為采用高級語言編程可以節(jié)省開發(fā)時間和精力,可移植性好。</p><p><b>  3.

41、1 主程序設(shè)計</b></p><p>  主程序包括LCD初始化,盤掃描及識別,程控放大、濾波電路和幅頻測試電路控制與實現(xiàn)。主程序流程圖如圖16所示,程序見附錄1。</p><p>  圖16 主程序流程圖</p><p>  3.2鍵盤掃描子程序</p><p>  此處采用4x4距陣鍵盤,其直接接在單片機P1口。鍵盤掃描流

42、程圖如圖17所示。 </p><p>  圖17 鍵盤掃描流程圖</p><p>  掃描過程如下:首先使所有的行輸出均為低電平(全掃描)然后讀列值,若列為0FH則無鍵按下,否則有鍵按下;有鍵按下延時5至10ms,再一次判斷有無鍵按下,若仍有鍵按下才最終認為鍵盤上有一個鍵處于穩(wěn)定閉合狀態(tài);確定有鍵按下,此時對鍵盤進行逐行掃描(即對行線依次送低電平),此時即可得按鍵所處的行和列,適當組合即

43、可得鍵值。鍵盤掃描流程圖如圖16所示,程序見附錄1。</p><p>  3.3 可控增益放大程序設(shè)計</p><p>  首先將10mv正弦信號送入到AD620放大模塊,其輸出電壓增益為10dB,然后通過單片機I/O口控制多路模擬開關(guān)CC4051切換電阻網(wǎng)絡(luò)改變AD603控制電壓,從而最終達到改變AD603輸出電壓增益的目的(10dB-40dB之間以10dB步進)。程序見附錄1。流程圖如

44、下:</p><p>  圖18 可控增益流程圖</p><p>  3.4 程控濾波程序設(shè)計</p><p>  程控濾波模塊采用集成可編程控制濾波芯片MAX297(引腳可編程八階低通巴特沃思濾波器)、MAX262(此處僅做高通),其控制原理為:低通(MAX297)通過鍵盤動作將與之對應(yīng)的DDS頻率控制字送入DDS時鐘信號產(chǎn)生電路,通過頻率的改變從而改變?yōu)V波器特

45、性;對于高通(MAX262)而言,控制則更為復雜,鍵盤的相應(yīng)動作將與之對應(yīng)DDS頻率控制字及MAX262特征控制字通過I/O口送入相應(yīng)模塊中,這樣可以得到所需設(shè)計的濾波器(DDS頻率控制字及MAX262特征控制字又相應(yīng)公式及實驗綜合得到并經(jīng)轉(zhuǎn)化存于單片機ROM中)。程序見附錄1。</p><p>  圖19 程控濾波程序流程圖</p><p>  3.5 DDS時鐘信號產(chǎn)生模塊程序設(shè)計&

46、lt;/p><p>  此模塊由單片機AT89S52和DDS(AD9851)模塊組成。其控制原理為:首先將DDS頻率表(這里由實際情況而定)存于單片機ROM中,當步進鍵動作時相應(yīng)的頻率控制字將由單片機I/O口(此處使用P0口)送入AD9851,對應(yīng)的頻率也將產(chǎn)生。程序見附錄1。</p><p>  圖20 DDS時鐘信號產(chǎn)生模塊程序設(shè)計流程圖</p><p>  3.

47、6 DDS掃頻信號產(chǎn)生模塊程序設(shè)計</p><p>  此模塊與DDS時鐘產(chǎn)生模塊硬件電路基本相同。 DDS掃頻信號將作為濾波器設(shè)定后的輸入信號,最終用來測量濾波器幅頻特性。該模塊工作原理如下:當按鍵按下時,DDS輸出的正弦信號(幅度為1V左右)將從100hz以10khz步進(間隔為0.2s)直至頻率為200khz時停止,等待相應(yīng)按鍵的再次觸發(fā)。程序見附錄1。其流程圖如圖21所示。</p><

48、p>  圖21 掃頻流程圖</p><p>  3.7 幅頻特性測試模塊程序設(shè)計</p><p>  該模塊采用可編程邏輯器件CPLD作為控制器控制一路模數(shù)轉(zhuǎn)換電路(MX7821(1M的采樣頻率))采樣掃頻后濾波器輸出信號,兩路數(shù)模轉(zhuǎn)換電路(AD565)則在CPLD控制下將采樣到的所需信號(濾波器幅頻特性)在雙蹤示波器上恢復出來。其控制原理如下:當CPLD接收到幅頻特性測試模塊開始

49、信號后立即啟動模數(shù)轉(zhuǎn)換,在每個頻率步進間隔內(nèi)(0.2S)模數(shù)轉(zhuǎn)換得到的信號經(jīng)CPLD比較處理后得到最大值并將該值在示波器上恢復出來的同時開始下一個間隔采樣,直至接收到幅頻特性測試模塊開始信號的復位信號時停止采樣,等待下次置位幅頻特性測試模塊開始信號。程序見附錄1。</p><p>  圖22 幅頻特性測試模塊程序設(shè)計流程圖</p><p>  4 系統(tǒng)調(diào)試與結(jié)果測試</p>

50、<p><b>  4.1系統(tǒng)調(diào)試</b></p><p>  各模塊電路設(shè)計完成后,并不是簡單的直接級聯(lián),而是先對各個模塊進行調(diào)試,在保證指標和性能的前提下再有計劃的逐步進行級聯(lián)。最后對整個系統(tǒng)進行調(diào)試直至滿足設(shè)計要求。</p><p><b> ?。?)顯示電路調(diào)試</b></p><p>  檢查硬件電

51、路,確定電路連接正確后系統(tǒng)上電,調(diào)節(jié)液晶顯示器的背光使亮度適中。調(diào)試運行液晶顯示部分程序并觀察顯示效果,直到滿足設(shè)計要求。實驗效果詳見附錄二。</p><p><b> ?。?)鍵盤電路調(diào)試</b></p><p>  調(diào)試鍵盤掃描程序,當鍵盤有動作時使單片機能夠成功捕捉和識別按鍵的位置,適當結(jié)合顯示電路使鍵盤的動作能夠正確在液晶上顯示(鍵值或相應(yīng)操作)。</p

52、><p> ?。?)程控增益放大模塊調(diào)試</p><p>  考慮輸入的10MV信號太過微弱,首先我們將其接入AD620放大電路(AD620為儀表放大器具有很高的共模抑制比,精度較高,能夠使信號幾乎不失真的傳送到后級并放大)。改變該電路滑動變阻器阻值,實現(xiàn)10mv信號的10dB放大。</p><p>  將AD603模塊(按照PDF資料及實驗具體要求,這里我們按9M的模

53、式連接)連接無誤上電后,調(diào)節(jié)其電壓控制端滑動變阻器使輸入信號增益能夠隨之變化,按設(shè)計要求(20dB、30dB、40dB)調(diào)試好三組電阻網(wǎng)絡(luò)。在原電路基礎(chǔ)上接入多路模擬開關(guān)CC4051,通過程序控制電阻網(wǎng)絡(luò)的切換,最終實現(xiàn)增益的設(shè)置和調(diào)節(jié)。(此處AD603僅放大到了40dB原因是我們在實際制作過程中當增益繼續(xù)增大時AD603模塊產(chǎn)生了自激)</p><p>  (4)DDS時鐘產(chǎn)生電路調(diào)試</p>&

54、lt;p>  先單獨調(diào)試DDS模塊,硬件電路連接好上電以后,調(diào)試DDS子程序,使之能夠按照程序所送數(shù)據(jù)產(chǎn)生相應(yīng)頻率且波形穩(wěn)定。上述步驟完成以后將該模塊與AD811放大模塊級連(AD811放大模塊事先也必須單獨調(diào)試成功),調(diào)試硬件電路使DDS輸出正弦信號經(jīng)放大后波形穩(wěn)定無明顯失真(峰峰值為10V左右即可)。最后將跟隨器(或電容(大小視具體情況而定))和電壓比較器模塊也串入前述模塊中,調(diào)試硬件電路使最終由比較器模塊輸出的信號(即后級濾

55、波器所需的時鐘信號)為DDS模塊輸出信號的同頻率方波(或矩形波),幅度滿足0v~+5v。結(jié)合鍵盤和顯示模塊使時鐘頻率可通過鍵盤設(shè)定并能夠在液晶上顯示相應(yīng)頻率值。</p><p> ?。?)程控濾波器模塊電路調(diào)試</p><p><b>  1)低通濾波器電路</b></p><p>  硬件電路連接無誤上電后,調(diào)試運行程序,先用示波器測量該模塊

56、時鐘信號,當其滿足模塊(4)要求后,由信號源接入0~20khz正弦信號(峰峰值約1v),調(diào)節(jié)輸入信號頻率同時觀測濾波模塊輸出信號,測量并紀錄該濾波器特性參數(shù)并與理論值相比較,適當調(diào)節(jié)前級時鐘電路和改變程序相應(yīng)參數(shù)使濾波器性能更好。結(jié)合上述四個模塊將信號源輸入電壓改為10mv并接入到程控增益放大模塊中,取出增益為40dB時輸出信號做為濾波器輸入信號,結(jié)合鍵盤和顯示模塊設(shè)置濾波器特性(截止頻率等),調(diào)節(jié)輸入信號頻率同時觀測濾波模塊輸出信號,

57、適當調(diào)節(jié)前級時鐘電路和改變程序相應(yīng)參數(shù)使濾波器性能更好的滿足設(shè)計要求。</p><p><b>  2)高通濾波器電路</b></p><p>  高通濾波器電路的調(diào)試方法與低通濾波電路大體相同,區(qū)別是濾波芯片的控制字及操作方式不同。MAX262控制較MAX297復雜,其不僅要求設(shè)置時鐘頻率還要求對濾波器工作方式以及相應(yīng)特性參數(shù)(截止頻率、品質(zhì)因數(shù)等)進行必要設(shè)置。確

58、保電路連接無誤后系統(tǒng)上電,運行調(diào)試相應(yīng)程序并結(jié)合鍵盤和顯示模塊對其進行設(shè)置,測量并記錄濾波器特性參數(shù),調(diào)節(jié)電路和適當改變程序直至所設(shè)計的濾波器參數(shù)滿足設(shè)計要求。</p><p> ?。?)DDS掃頻信號產(chǎn)生電路調(diào)試</p><p>  該模塊調(diào)試與DDS時鐘電路產(chǎn)生模塊基本相同。只需對程序做少量修改即可。</p><p>  (7)幅頻特性測試模塊調(diào)試</p&

59、gt;<p>  首先對模數(shù)、數(shù)模轉(zhuǎn)換模塊進行調(diào)試,模塊調(diào)試通過后再將其掛接在CPLD模塊上,結(jié)合所設(shè)計程序不斷調(diào)試最終實現(xiàn)CPLD對AD、DA模塊的控制。</p><p><b>  (8)系統(tǒng)聯(lián)調(diào)</b></p><p>  在以上各模塊調(diào)試通過后將各模塊按系統(tǒng)電路圖正確組裝,將各模塊程序進行合理綜合,調(diào)試系統(tǒng)直到系統(tǒng)功能實現(xiàn)(調(diào)試過程中也應(yīng)逐級調(diào)試

60、)。此時最需要注意的就是電源的共地問題。</p><p><b>  4.2 測試儀器</b></p><p>  測試使用的儀器設(shè)備如表1所示:</p><p>  表1 測試使用儀器與設(shè)備</p><p>  4.3 測試方案與測試結(jié)果</p><p>  1)程控放大電路 </p&

61、gt;<p>  系統(tǒng)上電運行后,由信號源接入電壓幅度約為10mV的正弦信號(頻率1KHz),將示波器探頭與程控放大模塊測試端口相連,當鍵盤對增益進行設(shè)置時通過數(shù)字示波器觀測并記錄相應(yīng)時刻波形的幅度及頻率。保持信號源輸出電壓幅度不變,改變輸入信號頻率可測得通頻帶為100Hz~40kHz。整個測量過程放大器輸出電壓無明顯失真。</p><p>  表2 測試數(shù)據(jù)及測試結(jié)果(均為多次測量取平均值)&l

62、t;/p><p>  2)程控濾波電路 </p><p>  低通濾波器:在程控放大電路測試完的基礎(chǔ)上按下低通濾波器選擇鍵,通過鍵盤設(shè)置截至頻率fc(頻率步進為1KHz) 當接入信號頻率遠小于fc時,處于導通,信號基本無衰減; 當信號頻率不斷增加直至接近fc時,輸出信號幅度衰減為原來的0.707倍,記錄此時輸入信號頻率即為該濾波器截止頻率(記錄結(jié)果與設(shè)計值相比即可得誤差);繼續(xù)增加fc,當信

63、號頻率達到2fc時記錄此時濾波器輸出信號幅度,計算此時放大器與濾波器總電壓增益。當信號頻率繼續(xù)增加時,輸出信號衰減更大,最終衰減為零。所記錄數(shù)據(jù)均為多次測量取平均值后的結(jié)果。fc在1KHZ~20KHZ中取20個數(shù),分別得到以下數(shù)據(jù)(取了5組數(shù)據(jù)):</p><p>  表3 (放大器的增益取最大值40dB)</p><p>  高通濾波器: 在程控放大電路測試完的基礎(chǔ)上按下高通濾波器選擇

64、鍵,通過鍵盤設(shè)置截至頻率fc(頻率步進為1khz) 當接入信號頻率遠大于fc時,處于導通,信號基本無衰減; 當信號頻率不斷減小直至接近fc時,輸出信號幅度衰減為原來的0.707倍,記錄此時輸入信號頻率即為該濾波器截止頻率(記錄結(jié)果與設(shè)計值相比即可得誤差);繼續(xù)減小fc,當信號頻率達到0.5fc時記錄此時濾波器輸出信號幅度,計算此時放大器與濾波器總電壓增益。當信號頻率繼續(xù)減小時,輸出信號衰減更大,最終衰減為零。所記錄數(shù)據(jù)均為多次測量取平均

65、值后的結(jié)果。</p><p>  fc在1khz~20khz中取20個數(shù),分別得到以下數(shù)據(jù)(取了5組數(shù)據(jù)):</p><p>  表4 (放大器增益取最大值40dB)</p><p>  實驗中對所設(shè)計的濾波器幅頻特性進行了測量,圖23為截止頻率設(shè)置為5KHZ時的低通濾波器幅頻特性,圖24為截止頻率設(shè)置為5KHZ時的高通濾波器幅頻特性。</p>&l

66、t;p>  圖23 低通濾波器幅頻特性(實際截止頻率為5.25KHZ)</p><p>  圖24 低通濾波器幅頻特性(實際截止頻率為5.68KHZ)</p><p><b>  4.4 誤差分析</b></p><p>  經(jīng)分析本實驗誤差主要有以下幾個來源:</p><p>  首先是電磁干擾。由于試驗場地

67、有許多電腦和儀器使用開關(guān)電源,電磁噪聲很大,而且使用的同軸電纜屏蔽效果不好。其次是制作工藝等原因帶來的誤差。實驗中很多的芯片都是由貼片式經(jīng)過轉(zhuǎn)接板轉(zhuǎn)換為DIP封裝的,這在很大程度上降低了芯片的原有性能。另外由于系統(tǒng)較大,線路較為復雜,如有條件進行PCB板制作,對系統(tǒng)的性能也會有較大提高。再次是電源干擾。電網(wǎng)的波動以及電源串繞等對實驗結(jié)果會有很大影響。實驗中盡管對穩(wěn)壓電源紋波等參數(shù)進行了很大程度上的抑制,以及在各芯片工作電壓與地之間接入0

68、.01uF容,但干擾依然存在。最后是測量誤差。由于實驗室條件有限,部分測量設(shè)備精度達不到要求,再加上測量讀取數(shù)據(jù)人為帶來的誤差對實驗結(jié)果都會帶來影響。</p><p><b>  4.5 功能實現(xiàn)</b></p><p>  根據(jù)題目要求,本設(shè)計實現(xiàn)了所有基本功能,達到了基本指標,并且在許多方面有一定的發(fā)揮,現(xiàn)將題目要求與系統(tǒng)實際完成功能列表如下:</p>

69、<p>  表5 題目要求與系統(tǒng)功能實現(xiàn)</p><p><b>  5 結(jié)束語</b></p><p>  本次畢業(yè)設(shè)計是在本屆全國大學生電子設(shè)計大賽的基礎(chǔ)上進行的,大賽后我繼續(xù)了本濾波器的研制,基本完成了設(shè)計任務(wù)。</p><p>  在系統(tǒng)的研制過程中,我深切體會到,實踐是理論運用的最好檢驗。本次設(shè)計是對我四年所學知識的

70、一次綜合性檢測和考驗,無論是動手能力還是理論知識運用能力都得到了提高,增強了團隊合作精神,同時加深了我對網(wǎng)絡(luò)資源認識,大大提高了查閱資料的能力和效率,使我有充足的時間投入到電路設(shè)計當中。</p><p>  鑒于本系統(tǒng)在實驗室條件下研制,實際應(yīng)用中在功能和穩(wěn)定性方面仍有待提高和改進。 </p><p><b>  [參考文獻]</b></p><

71、;p>  [1] 謝自美.電子線路綜合設(shè)計[M]. 武漢:華中科技大學出版社.2005.</p><p>  [2] 高吉祥. 電子儀器儀表設(shè)計[M]. 北京:電子工業(yè)出版社.2007.</p><p>  [3] 高吉祥. 模擬電子線路設(shè)計[M]. 北京:電子工業(yè)出版社.2007.</p><p>  [4] 胡乾斌, 李光斌等. 單片微型計算機原理與應(yīng)用[

72、M](第二版). 武漢:華中科技大學出版社, 2005.</p><p>  [5] 周立功, 夏宇聞. 單片機與CPLD綜合應(yīng)用技術(shù)[M]. 北京:北京航空航天大學出版社.2003.</p><p>  [6] 夏路易, 石宗義. 電路原理圖與電路板設(shè)計教程Protel 99SE[M]. 北京:北京希望電子出版社.2002.</p><p>  [7] 謝自美.

73、電子線路設(shè)計.實驗.測試[M](第二版). 武漢: 華中科技大學出版社.</p><p>  [8] 康華光主編. 電子技術(shù)基礎(chǔ) 模擬部分[M](第四版). 北京: 高等教育出版社.1999.</p><p>  [9] 楊世忠, 邢麗娟. 增益可變運放AD603 的原理及應(yīng)用[J]. 山西電子技術(shù), 2001 年第3期.</p><p>  [10] 付麗琴,

74、桂志國, 王黎明. 數(shù)字信號處理原理及實現(xiàn)[M]. 北京: 國防工業(yè)出版社.2004.</p><p>  [11] 許正望. 可變增益放大器AD603 及其使用 [J]. 湖北工學院學報, 2000年9月第15卷第3期.</p><p>  [12] 丁玉美,高西全. 數(shù)字信號處理 [M](第二版). 西安:西安電子科技大學出版社, 2001年1月.</p><p&g

75、t;<b>  附 錄</b></p><p><b>  附1:實驗程序</b></p><p>  #include <absacc.h></p><p>  #include <REG52.h></p><p>  #include <stdio.h><

76、;/p><p>  #include <intrins.h></p><p>  #define ulong unsigned long</p><p>  #define uint unsigned int</p><p>  #define uchar unsigned char</p><p>  #de

77、fine para1 0x20;</p><p><b>  //內(nèi)部單元定義</b></p><p>  #define dat1 DBYTE[0x30]</p><p>  #define dat2 DBYTE[0x31]</p><p>  #define com DBYTE[0x32]</p>

78、;<p>  #define O_Y DBYTE[0x33]</p><p>  #define O_X DBYTE[0x34]</p><p>  #define code_1 DBYTE[0x35]</p><p>  #define keycode DBYTE[0x36]</p><p>  #define me

79、nu DBYTE[0x37]</p><p><b>  //IO端哭定義</b></p><p>  #define data1 P0;</p><p>  sbit clk=P3^0;</p><p>  sbit FQ_UD=P3^1;</p><p>  sbit RST=P3^2;<

80、;/p><p>  sbit P3_4=P3^3;</p><p>  sbit P3_5=P3^4;</p><p>  sbit P3_6=P3^5;</p><p>  sbit P2_5=P2^5;</p><p>  #define LCMDW XBYTE[0x00Ff] //數(shù)據(jù)口地址</p&g

81、t;<p>  #define LCMCW XBYTE[0x01FF] //指令口地址</p><p>  sbit ACC_0=ACC^0;</p><p>  sbit ACC_1=ACC^1;</p><p>  sbit ACC_3=ACC^3;</p><p>  uchar cf=0;</p&g

82、t;<p>  ulong F=0;</p><p>  /* 定義字模 */</p><p>  code uchar CCNum[][16]={</p><p>  /*---轉(zhuǎn)換字符 0 -0--*/</p><p>  0x00,0x00,0x00,0x18,0x24,0x42,0x42,0x42,0x42,0

83、x42,0x42,0x42,0x24,0x18,0x00,0x00,</p><p>  /*---轉(zhuǎn)換字符 1 --1-*/</p><p>  0x00,0x00,0x00,0x10,0x70,0x10,0x10,0x10,0x10,0x10,0x10,0x10,0x10,0x7C,0x00,0x00,</p><p>  /*---轉(zhuǎn)換字符 2 --2-*/&

84、lt;/p><p>  0x00,0x00,0x00,0x3C,0x42,0x42,0x42,0x04,0x04,0x08,0x10,0x20,0x42,0x7E,0x00,0x00,</p><p>  /*---轉(zhuǎn)換字符 3 --3-*/</p><p>  0x00,0x00,0x00,0x3C,0x42,0x42,0x04,0x18,0x04,0x02,0x02

85、,0x42,0x44,0x38,0x00,0x00,</p><p>  /*---轉(zhuǎn)換字符 4 --4-*/</p><p>  0x00,0x00,0x00,0x04,0x0C,0x14,0x24,0x24,0x44,0x44,0x7E,0x04,0x04,0x1E,0x00,0x00,</p><p>  /*---轉(zhuǎn)換字符 5 --5-*/</p>

86、;<p>  0x00,0x00,0x00,0x7E,0x40,0x40,0x40,0x58,0x64,0x02,0x02,0x42,0x44,0x38,0x00,0x00,</p><p>  /*---轉(zhuǎn)換字符 6 --6-*/</p><p>  0x00,0x00,0x00,0x1C,0x24,0x40,0x40,0x58,0x64,0x42,0x42,0x42,0x

87、24,0x18,0x00,0x00,</p><p>  /*---轉(zhuǎn)換字符 7 --7-*/</p><p>  0x00,0x00,0x00,0x7E,0x44,0x44,0x08,0x08,0x10,0x10,0x10,0x10,0x10,0x10,0x00,0x00,</p><p>  /*---轉(zhuǎn)換字符 8 --8-*/</p><p

88、>  0x00,0x00,0x00,0x3C,0x42,0x42,0x42,0x24,0x18,0x24,0x42,0x42,0x42,0x3C,0x00,0x00,</p><p>  /*---轉(zhuǎn)換字符 9 --9-*/</p><p>  0x00,0x00,0x00,0x18,0x24,0x42,0x42,0x42,0x26,0x1A,0x02,0x02,0x24,0x38,

89、0x00,0x00,</p><p>  /*---轉(zhuǎn)換字符 d --10-*/</p><p>  0x00,0x00,0x00,0x06,0x02,0x02,0x02,0x1E,0x22,0x42,0x42,0x42,0x26,0x1B,0x00,0x00,</p><p>  /*---轉(zhuǎn)換字符 b --11-*/</p><p>  

90、0x00,0x00,0x00,0xC0,0x40,0x40,0x40,0x58,0x64,0x42,0x42,0x42,0x64,0x58,0x00,0x00,</p><p>  /*---轉(zhuǎn)換字符 k --12-*/</p><p>  0x00,0x00,0x00,0xC0,0x40,0x40,0x40,0x4E,0x48,0x50,0x68,0x48,0x44,0xEE,0x00,

91、0x00,</p><p>  /*---轉(zhuǎn)換字符 h --13-*/</p><p>  0x00,0x00,0x00,0xC0,0x40,0x40,0x40,0x5C,0x62,0x42,0x42,0x42,0x42,0xE7,0x00,0x00,</p><p>  /*---轉(zhuǎn)換字符 z --14-*/</p><p>  0x00,

92、0x00,0x00,0x00,0x00,0x00,0x00,0x7E,0x44,0x08,0x10,0x10,0x22,0x7E,0x00,0x00,</p><p>  /*---轉(zhuǎn)換字符 : -- 空格 15 -*/</p><p>  0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00

93、,0x00</p><p><b>  };</b></p><p>  code uchar CCTAB[][32]={</p><p>  /**************************************************/</p><p>  /*----點陣轉(zhuǎn)換時間:2007/08/15

94、21:20:24----*/</p><p>  /*----宋體 12 (8*16) 調(diào)整后: 寬度x高度:16x16</p><p>  /**************************************************/</p><p>  /*---轉(zhuǎn)換字符 電 --0-*/</p><p>  0x01,0x

95、00,0x01,0x00,0x01,0x00,0x3F,0xF8,0x21,0x08,0x21,0x08,0x3F,0xF8,0x21,0x08,</p><p>  0x21,0x08,0x21,0x08,0x3F,0xF8,0x21,0x08,0x01,0x02,0x01,0x02,0x00,0xFE,0x00,0x00,</p><p>  /*---轉(zhuǎn)換字符 壓 --1-*/<

96、;/p><p>  0x00,0x00,0x3F,0xFE,0x20,0x00,0x20,0x80,0x20,0x80,0x20,0x88,0x2F,0xFC,0x20,0x80,</p><p>  0x20,0xA0,0x20,0x90,0x20,0x98,0x40,0x90,0x40,0x80,0xBF,0xFE,0x00,0x00,0x00,0x00,</p><p

97、>  /*---轉(zhuǎn)換字符 增 -2-*/</p><p>  0x22,0x08,0x21,0x8C,0x20,0x90,0x23,0xFE,0xFA,0x22,0x22,0xAA,0x22,0x72,0x23,0xFE,</p><p>  0x20,0x00,0x21,0xFC,0x39,0x04,0xE1,0xFC,0x41,0x04,0x01,0x04,0x01,0xFC,0

98、x01,0x04,</p><p>  /*---轉(zhuǎn)換字符 益 --3-*/</p><p>  0x00,0x20,0x10,0x30,0x08,0x40,0x04,0x80,0x7F,0xFC,0x04,0x20,0x08,0x10,0x30,0x0C,</p><p>  0xC0,0x04,0x1F,0xF8,0x12,0x48,0x12,0x48,0x12

99、,0x48,0x12,0x48,0xFF,0xFE,0x00,0x00,</p><p>  /*---轉(zhuǎn)換字符 低 --4-*/</p><p>  0x08,0x18,0x0C,0x7C,0x1B,0xA0,0x12,0x20,0x22,0x20,0x62,0x20,0xA3,0xFE,0x22,0x20,</p><p>  0x22,0x20,0x22,0x

100、20,0x22,0x10,0x22,0x52,0x22,0x8A,0x23,0x46,0x22,0x22,0x00,0x00,</p><p>  /*---轉(zhuǎn)換字符 通 --5-*/</p><p>  0x40,0x00,0x27,0xF8,0x20,0x90,0x00,0x60,0x07,0xF8,0x04,0x48,0xE7,0xF8,0x24,0x48,</p>&

101、lt;p>  0x24,0x48,0x27,0xF8,0x24,0x48,0x24,0x68,0x24,0x50,0x50,0x00,0x8F,0xFE,0x00,0x00,</p><p>  /*---轉(zhuǎn)換字符 高 --6-*/</p><p>  0x02,0x00,0x01,0x00,0xFF,0xFE,0x00,0x00,0x0F,0xE0,0x08,0x20,0x0F,0

102、xE0,0x00,0x00,</p><p>  0x7F,0xFC,0x40,0x04,0x4F,0xE4,0x48,0x24,0x48,0x24,0x4F,0xE4,0x40,0x14,0x40,0x08,</p><p>  /*---轉(zhuǎn)換字符 通 --7-*/</p><p>  0x40,0x00,0x27,0xF8,0x20,0x90,0x00,0x60

103、,0x07,0xF8,0x04,0x48,0xE7,0xF8,0x24,0x48,</p><p>  0x24,0x48,0x27,0xF8,0x24,0x48,0x24,0x68,0x24,0x50,0x50,0x00,0x8F,0xFE,0x00,0x00,</p><p>  /*---轉(zhuǎn)換字符 截 --8-*/</p><p>  0x08,0x20,0x

104、08,0x20,0x7F,0xA8,0x08,0x24,0xFF,0xFE,0x28,0x20,0x24,0x20,0x7F,0xA8,</p><p>  0x64,0x2C,0xBF,0x18,0x24,0x10,0x3F,0x10,0x24,0x38,0x24,0x4A,0x3F,0x86,0x20,0x02,</p><p>  /*---轉(zhuǎn)換字符 止 --9-*/</p&g

105、t;<p>  0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x08,0x80,0x08,0x80,0x08,0xFC,0x08,0x80,</p><p>  0x08,0x80,0x08,0x80,0x08,0x80,0x08,0x80,0x08,0x80,0x08,0x80,0xFF,0xFE,0x00,0x00,</p><p> 

106、 /*---轉(zhuǎn)換字符 頻 --10-*/</p><p>  0x08,0x00,0x08,0xFE,0x4E,0x20,0x48,0x40,0x48,0xFC,0xFE,0x84,0x00,0xA4,0x08,0xA4,</p><p>  0x4A,0xA4,0x4A,0xA4,0x84,0xA4,0x08,0x50,0x10,0x48,0x20,0x86,0xC3,0x02,0x00

107、,0x00,</p><p>  /*---轉(zhuǎn)換字符 率 --11-*/</p><p>  0x02,0x00,0x01,0x00,0x7F,0xFE,0x41,0x00,0x22,0x28,0x17,0xD0,0x04,0x80,0x11,0x10,</p><p>  0x22,0x48,0x47,0xC4,0x01,0x20,0xFF,0xFE,0x01,0

108、x00,0x01,0x00,0x01,0x00,0x01,0x00};</p><p><b>  //短延時</b></p><p>  void dely(uchar time2)</p><p>  { uchar i;</p><p><b>  uint j;</b></p>

109、<p>  for (i=0;i<time2;i++)</p><p>  for (j=0;j<100;j++)</p><p><b>  {;}</b></p><p><b>  }</b></p><p>  //延時 0.2s</p><p&

110、gt;  void delay(uchar time1)</p><p><b>  {</b></p><p>  unsigned int i,j;</p><p>  for(i=0;i<time1;i++)</p><p>  for(j=0;j<15000;j++)</p><

111、p><b>  {;}</b></p><p><b>  }</b></p><p>  /************************************************/</p><p>  /* 增益控制子程序*/</p><p>  /****************

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論