2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩8頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、<p>  EDA課程設(shè)計(jì)報(bào)告書</p><p>  題目: 智力競賽搶答器 </p><p>  姓名: </p><p>  班級(jí): 電子11-3班 </p><p>  學(xué)號(hào): </p><p&g

2、t;  成績: </p><p><b>  一、設(shè)計(jì)題目及要求</b></p><p><b>  1設(shè)計(jì)題目:</b></p><p><b>  智力競賽搶答器</b></p><p><b>  2要求:</b

3、></p><p> ?。?)五人參賽每人一個(gè)按鈕,主持人一個(gè)按鈕, 按下就開始;</p><p>  (2)每人一個(gè)發(fā)光二極管,搶中者燈亮;</p><p> ?。?)有人搶答時(shí),喇叭響兩秒鐘;</p><p>  (4)答題時(shí)限為10秒鐘,從有人搶答開始,用數(shù)碼管倒計(jì)時(shí)間,0、9、8…1、0;倒計(jì)時(shí)到0的時(shí)候,喇叭發(fā)出兩秒聲響。&l

4、t;/p><p><b>  二、設(shè)計(jì)過程及內(nèi)容</b></p><p><b>  1 總體設(shè)計(jì)方案</b></p><p>  智力搶答器由5名選手及主持人作為共同輸入端,由主持人控制總開關(guān)。當(dāng)主持人打開開關(guān)按鈕后,處于高電平狀態(tài),搶答開始。此時(shí)選手進(jìn)行搶答,搶答后的答題時(shí)間為十秒,搶答者搶答時(shí)輸出高電平有效,最先搶答的人

5、對(duì)應(yīng)的二極管發(fā)亮,表示該選手搶中,同時(shí)喇叭響兩秒,且數(shù)碼管顯示器開始進(jìn)行十秒倒計(jì)時(shí),當(dāng)計(jì)時(shí)器再次為0時(shí),喇叭再響2秒鐘,搶答及答題過程結(jié)束。主持人控制的總開關(guān)可復(fù)位,當(dāng)主持人關(guān)閉開關(guān)時(shí),處于低電平狀態(tài),此時(shí)發(fā)光的二極管熄滅,倒計(jì)時(shí)歸零,搶答器不工作,選手無法搶答,直到主持人再次打開開關(guān)時(shí),進(jìn)行下一輪搶答。</p><p>  經(jīng)分析,本設(shè)計(jì)的重點(diǎn)和難點(diǎn)包括3個(gè)方面:</p><p>  ①

6、.開始搶答時(shí),最先按下開關(guān)的選手為搶中者,此時(shí)其他選手按開關(guān)無效;</p><p>  ②.沒有搶答者搶答時(shí),沒有任何輸出變化,當(dāng)有搶答者搶中時(shí),對(duì)應(yīng)發(fā)光二極管發(fā)光,喇叭開始響兩秒,同時(shí)10s倒計(jì)時(shí)開始,關(guān)鍵在于同步性問題;</p><p> ?、郏鞒秩说目傞_關(guān)在任何時(shí)刻關(guān)閉開關(guān),都可以使整個(gè)系統(tǒng)還原到最初沒有搶答的狀態(tài)。</p><p><b>  設(shè)

7、計(jì)方案如下:</b></p><p>  根據(jù)要求將整個(gè)課題設(shè)計(jì)分為4個(gè)模塊,分別為搶答器,分頻器,2s發(fā)聲器,10s倒計(jì)時(shí)器。</p><p>  總體方案的模塊總設(shè)計(jì)原理圖如下圖:</p><p><b>  原理圖介紹說明:</b></p><p>  搶答器模塊中用五個(gè)高低電平控制開關(guān)(AA-EE)作

8、為五名選手的輸入端,并用五個(gè)輸出端(YA1-YE5)外接發(fā)光二極管分別對(duì)應(yīng)于五個(gè)輸入端;另取一個(gè)高低電平控制開關(guān)(FF)作為主持人輸入端;六個(gè)輸入端共同控制搶答器模塊。脈沖輸入信號(hào)輸入端(CCLLKK)輸入頻率732HZ的時(shí)鐘信號(hào),后邊經(jīng)分頻器模塊產(chǎn)生1HZ的時(shí)鐘信號(hào),為發(fā)聲器模塊和10s倒計(jì)時(shí)模塊提供時(shí)鐘脈沖頻率,分頻器模塊受主持人開關(guān)和搶答器模塊共同控制。10s倒計(jì)時(shí)器模塊受主持人開關(guān)和搶答器模塊共同控制,七個(gè)輸出端對(duì)應(yīng)接七段顯示譯

9、碼管。2s發(fā)聲器模塊有兩個(gè),其輸出端均接喇叭,其中一個(gè)受受主持人開關(guān)和搶答器模塊共同控制,另一個(gè)受主持人開關(guān)和10s倒計(jì)時(shí)器模塊共同控制。另外兩個(gè)D觸發(fā)器,做控制開關(guān)。</p><p>  總體設(shè)計(jì)的仿真波形如下圖:</p><p>  仿真說明:主持人FF開關(guān)處于高電平狀態(tài)時(shí)是搶答與答題環(huán)節(jié),開始的一段屬于測試階段,EE選手高電平最先搶中,對(duì)應(yīng)的YE5的輸出端輸出高電平,并驅(qū)動(dòng)對(duì)應(yīng)的發(fā)光

10、二極管發(fā)光,同時(shí)第一個(gè)發(fā)聲器的輸出端(YLB1)輸出2秒的高電平驅(qū)動(dòng)喇叭發(fā)聲,表示有搶答者搶答成功,同時(shí)10s倒計(jì)時(shí)計(jì)數(shù)器開始倒計(jì)時(shí),然后主持人FF關(guān)閉開關(guān),輸出低電平,整個(gè)系統(tǒng)還原為搶答前最初狀態(tài),可見重點(diǎn)與難點(diǎn)①②③全部完成實(shí)現(xiàn),測試成功。接下來一段屬于正常搶答過程,前半段的原理同第一段,后半段顯示譯碼管(YY)依次顯示“09876543210”,當(dāng)?shù)诙€(gè)“0”出現(xiàn)時(shí),第二個(gè)發(fā)聲器的輸出端(YLB2)輸出2秒的高電平驅(qū)動(dòng)喇叭發(fā)聲,表

11、示答題時(shí)間到,整體實(shí)驗(yàn)成功。主持人FF開關(guān)再次處于低電平狀態(tài)時(shí),不能搶答,回到搶答前的最初狀態(tài),當(dāng)再次輸出高電平時(shí),進(jìn)行下一輪搶答。</p><p>  接下來介紹各模塊的工作原理。</p><p>  2 模塊一:搶答器模塊</p><p><b>  邏輯原理圖:</b></p><p>  原理圖說明:A~E輸入端

12、代表五個(gè)參賽選手,F(xiàn)輸入端為置零端,主持人控制,QA~QE輸出端接發(fā)光二極管。當(dāng)F置于低電平時(shí),5個(gè)DFF觸發(fā)器都被置零,A~E都無法搶答,當(dāng)F置于高電平時(shí),搶答開始,強(qiáng)中者對(duì)應(yīng)的發(fā)光二極管發(fā)光,并通過非門和與門將CP信號(hào)封鎖,并輸入低電平到DFF中,則其他選手再次按鍵時(shí)結(jié)果不會(huì)改變,實(shí)現(xiàn)了一人搶答后,其他人不能再做答,當(dāng)主持人F清零后,與門處的CP信號(hào)打開,主持人F重新置于高電平試則可重新?lián)尨稹?lt;/p><p>

13、;<b>  仿真波形圖:</b></p><p>  仿真圖說明:當(dāng)主持人F置于低電平時(shí),選手無法搶答;當(dāng)主持人置于高電平時(shí),選手開始搶答,首先搶答的是E,對(duì)應(yīng)的QE二極管發(fā)光,其他人搶答對(duì)結(jié)果沒影響;當(dāng)主持人F清零后,E的二極管由亮到滅,當(dāng)主持人F重新置于高電平試搶答重新開始。</p><p>  3 模塊二:分頻模塊</p><p>&l

14、t;b>  邏輯原理圖:</b></p><p>  原理圖說明:三個(gè)74160十進(jìn)制計(jì)數(shù)器組成一個(gè)732進(jìn)制的計(jì)數(shù)器,當(dāng)計(jì)數(shù)到731時(shí)三個(gè)計(jì)數(shù)器的LDN同時(shí)置零,計(jì)數(shù)重新從0開始,完成了732分頻。輸入端FPKG是分頻器開關(guān),當(dāng)FPKG為低電平時(shí),3個(gè)74160計(jì)數(shù)器清零,當(dāng)FPKG為高電平時(shí),將輸進(jìn)來的732HZ的脈沖分頻成1HZ的脈沖,并從輸出端1HZ輸出,為兩秒計(jì)時(shí)器和十秒倒計(jì)時(shí)器提供時(shí)

15、鐘脈沖,F(xiàn)PKG此開關(guān)保證了此模塊可以與之外的其他模塊保持同步性。</p><p><b>  仿真波形圖:</b></p><p>  仿真說明:當(dāng)FPKG為高電平時(shí)732HZ的脈沖通過分頻器被分頻成1HZ的脈沖,并從1HZ端輸出。當(dāng)FPKG為低電平時(shí),不能進(jìn)行分頻,1HZ端一直輸出低電平。</p><p>  4 模塊三:10s倒計(jì)時(shí)模塊&

16、lt;/p><p><b>  邏輯原理圖:</b></p><p>  原理圖說明:此十秒倒計(jì)時(shí)器是由74168和7448組成的十進(jìn)制減法計(jì)數(shù)器,它只保留預(yù)置數(shù)端、CLK信號(hào)端、計(jì)數(shù)輸出端,其余的都置為0.。IN是同步置零端,并且與分頻模塊中的輸入端FPKG同步,保證了與其他模塊同步以及清零還原的功能,當(dāng)IN置于高電平時(shí),74168有效,倒計(jì)時(shí)開始。當(dāng)輸出9--1時(shí),右

17、邊的D觸發(fā)器輸出結(jié)果總是0,不影響CLK信號(hào)。當(dāng)輸出從1到0時(shí),右邊的D觸發(fā)器到上升沿,并輸出1,則或非門輸出結(jié)果為1,則CLK信號(hào)被封鎖,此時(shí)倒計(jì)時(shí)器保持在0不變。若要重新開始,則把IN同步置零即可。QL端輸入的是清零脈沖,此端口不受外邊其他模塊的限制,一直輸入脈沖信號(hào),該端口是為了保證任何時(shí)候主持人關(guān)閉開關(guān)時(shí)(控制INN)都可以使該模塊還原到最初狀態(tài),從零開始,工作原理是,當(dāng)INN為低電平,QL信號(hào)輸入74168,將74168同步置

18、零,當(dāng)INN高電平時(shí)通過非門與門封鎖QL信號(hào),該模塊可以倒計(jì)時(shí)工作。輸出端jskg控制第二個(gè)發(fā)聲器模塊,當(dāng)?shù)诙巍?”出現(xiàn)時(shí),由低電平變?yōu)楦唠娖?。左邊的D觸發(fā)器是為了處理前面四或門因?yàn)楦偁幟半U(xiǎn)帶來的毛刺的,該步驟在最后說明。</p><p><b>  仿真波形圖:</b></p><p>  仿真說明:當(dāng)INN為高電平1且有CLK信號(hào)時(shí),倒計(jì)時(shí)開始,顯示管顯示,輸出

19、結(jié)果0,9,8···0,最后保持零不變,前邊一段表示當(dāng)輸入信號(hào)INN突然為低電平0時(shí),倒計(jì)時(shí)系統(tǒng)清零,還原到最初狀態(tài)。</p><p>  5 模塊四:2s發(fā)聲器模塊</p><p><b>  邏輯原理圖:</b></p><p>  原理圖說明:將74160接成二進(jìn)制計(jì)數(shù)器,輸出端和CLKK用與門連接。當(dāng)INN

20、輸入為0時(shí),清零,Q1~Q4輸出為0;當(dāng)C1輸入為1時(shí),CLKK脈沖通過,計(jì)數(shù)器開始計(jì)數(shù),當(dāng)計(jì)數(shù)到2時(shí),輸出端通過與門使CLKK信號(hào)封鎖,計(jì)數(shù)器保持在2不變,當(dāng)INN再次為0時(shí),該模塊還原到最初狀態(tài)。同時(shí)該模塊的輸出端LB只在計(jì)數(shù)器為0和1的時(shí)候輸出高電平1,喇叭發(fā)聲,計(jì)數(shù)器為2時(shí)輸出低電平0,喇叭不發(fā)聲。</p><p><b>  仿真波形圖:</b></p><p&

21、gt;  仿真說明:當(dāng)INN輸入為0時(shí),輸出為0;當(dāng)INN輸入為1且有CP脈沖時(shí),開始2s計(jì)時(shí),“0 1 2”到“2”停止。輸出端LB在輸入端INN為高電平1時(shí)且計(jì)數(shù)器為“0、1”時(shí)輸出高電平1,在計(jì)數(shù)器為“2”時(shí)或INN為低電平0時(shí)輸出低電平0。</p><p><b>  三、設(shè)計(jì)結(jié)論</b></p><p><b>  設(shè)計(jì)中出現(xiàn)的問題:</b&

22、gt;</p><p>  10s倒計(jì)時(shí)器模塊中,由于74168的輸出端存在競爭冒險(xiǎn)現(xiàn)象,導(dǎo)致或門輸出端出現(xiàn)毛刺,并且該毛刺影響了本模塊及第二個(gè)發(fā)生器模塊的正常工作,故在或門之后添加D觸發(fā)器,其時(shí)鐘信號(hào)輸入端輸入732HZ的脈沖信號(hào)。經(jīng)此改進(jìn),消除了之前的問題,并且沒有影響到其他環(huán)節(jié)的正常工作,整個(gè)系統(tǒng)功能得到完美的實(shí)現(xiàn)。</p><p><b>  感想:</b>&

23、lt;/p><p>  通過兩個(gè)星期的EDA課程設(shè)計(jì),不但使我熟悉了EDA課程設(shè)計(jì)的基本思想和基礎(chǔ)知識(shí),初步掌握MAX-plus軟件的使用,而且更真切地感受到數(shù)字電子在現(xiàn)代高科技信息產(chǎn)業(yè)領(lǐng)域的重要地位。</p><p>  回想剛看到設(shè)計(jì)題目時(shí)的困惑與緊張,電路正確卻仿真不出符合波形時(shí)的憤怒,一次又一次失敗后的不耐煩······當(dāng)一個(gè)

24、個(gè)模塊都成功,連總電路都正確時(shí),內(nèi)心有種說不出的喜悅,也終于可以松口氣了。</p><p>  通過簡單電路的設(shè)計(jì),提高了我的獨(dú)立思考能力,延伸了在課堂上學(xué)到的知識(shí)。以前數(shù)字電子實(shí)驗(yàn)都是在紙上設(shè)計(jì),這次是在電腦上,第一次讓我真實(shí)地了解到所學(xué)專業(yè)能做些什么,不再像以前那么迷茫,也使我意識(shí)到高端都源于基礎(chǔ),基礎(chǔ)課還是很重要的。</p><p>  此次課程設(shè)計(jì)讓我看到了EDA技術(shù)功能的強(qiáng)大,我

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論