2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩10頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p><b>  信息科學(xué)與技術(shù)學(xué)院</b></p><p><b>  EDA課程設(shè)計(jì)報(bào)告</b></p><p>  摘要:針對(duì)心電信號(hào)的特點(diǎn)進(jìn)行心電信號(hào)的采集、數(shù)據(jù)轉(zhuǎn)換模塊的設(shè)計(jì)與開發(fā)。設(shè)計(jì)一種用于心電信號(hào)采集的電路,然后進(jìn)行A/D轉(zhuǎn)換,使得心電信號(hào)的頻率達(dá)到采樣要求。人體的心電信號(hào)是一種低頻率的微弱信號(hào),由于心電信號(hào)直接取自人

2、體,所以在心電采集的過(guò)程中不可避免會(huì)混入各種干擾信號(hào)。為獲得含有較小噪聲的心電信號(hào),需要對(duì)采集到的心電信號(hào)做降噪處理。目前對(duì)心電信號(hào)的降噪有多種方法,本文主要從濾波的方面介紹將噪聲從信號(hào)中分離。</p><p>  關(guān)鍵詞:心電信號(hào)采集,降噪,A/D轉(zhuǎn)換放大,電源電路</p><p><b>  總體設(shè)計(jì)思路:</b></p><p>  心電

3、信號(hào)是一種典型的人體生理信號(hào),具有生物電信號(hào)的普遍特征,如幅度小、頻率低并且易受外界環(huán)境干擾,為采集和測(cè)量帶來(lái)了難度。由于本系統(tǒng)需要進(jìn)行大量的數(shù)學(xué)運(yùn)算,所以對(duì)處理器的數(shù)據(jù)處理能力和速度也有很高的要求。如果選用處理速度很快的處理器,則相應(yīng)的外設(shè)也要有與之相適應(yīng)的性能指標(biāo)[16]。綜合各個(gè)方面因素,電路設(shè)計(jì)要求:</p><p>  (1)對(duì)微弱的心電心電信號(hào)進(jìn)行放大和濾波等必要的信號(hào)調(diào)理</p>&l

4、t;p>  a)設(shè)計(jì)合理的導(dǎo)聯(lián)系統(tǒng),選擇合適的傳感器。</p><p>  b)設(shè)計(jì)合理的有源濾波器,能夠進(jìn)行0.05-100Hz的帶通濾波,50Hz陷波。</p><p>  c)實(shí)現(xiàn)1000倍的信號(hào)放大。</p><p>  d)實(shí)現(xiàn)信號(hào)電壓抬高。</p><p>  (2)進(jìn)行符合要求的A/D轉(zhuǎn)換</p><p

5、>  根據(jù)采樣定理,采樣頻率要是心電頻率的2倍以上,所以A/D的采樣頻率至少要達(dá)到200Hz以上。</p><p><b>  (3)設(shè)計(jì)電源電路</b></p><p>  1.1心電信號(hào)采集電路</p><p><b>  圖1系統(tǒng)流程圖</b></p><p><b>  1.

6、2前置放大級(jí)</b></p><p>  由于心電信號(hào)是微弱信號(hào),所以設(shè)置前置放大器用來(lái)放大心電信號(hào);為了抑制基線漂移,設(shè)置了0.5Hz高通濾波;由于心電信號(hào)屬于低頻信號(hào),設(shè)置了二階低通巴特沃斯濾波器,消除100 Hz以上的高頻成分;為了消除50 Hz工頻干擾,設(shè)置50 Hz雙T陷波電路;為了心電信號(hào)不失真,設(shè)計(jì)了電平抬升電路;最后設(shè)置了A/D轉(zhuǎn)換電路,使信號(hào)頻率達(dá)到采樣要求[17]。</p&g

7、t;<p>  本系統(tǒng)選用的前置放大器是AD620A,具有很好的性能,非常適合作為心電信號(hào)測(cè)量前置放大器,引腳分布如圖3.2其具體規(guī)格特性如下:</p><p>  (1)電源供應(yīng)范圍:±2.3V-±18V;</p><p>  (2)高精度:輸人最大偏置電流:1mA;輸人最大失調(diào)電流:O.5nA;輸入最大失調(diào)電壓:50μV;最大溫度漂移:O.6μV/℃;

8、輸入阻抗:10GΩ。</p><p>  (3)低雜訊:輸入電壓噪聲(f=1K Hz):9nV/:共模抑制比(增益G=10):100dB。AD620的增益可調(diào),范圍為1~1000倍,通過(guò)調(diào)節(jié)AD620A的1和8腿之間的Rg的值來(lái)實(shí)現(xiàn):</p><p>  圖2 AD620引腳分布圖</p><p>  本電路所用的集成放大電路為OP07。引腳分布如圖3.3。OP07

9、芯片是一種低噪聲的單運(yùn)算放大器集成電路。由于OP07具有非常低的輸入失調(diào)電壓(對(duì)于OP07A最大為75μV),所以O(shè)P07在很多應(yīng)用場(chǎng)合不需要額外的調(diào)零措施。OP07同時(shí)具有輸入偏置電流低(OP07A為±2nA)和開環(huán)增益高(對(duì)于OP07A為300V/mV)的特點(diǎn),這種低失調(diào)、高開環(huán)增益的特性使得OP07特別適用于高增益的測(cè)量設(shè)備和放大傳感器的微弱信號(hào)等方面。其主要規(guī)格參數(shù)有:電源供應(yīng)范圍:3V-18V;輸入最大失調(diào)電壓:75

10、μV;最大溫度漂移:1.3μV /℃。</p><p>  圖3 OP07引腳圖</p><p><b>  圖4硬件電路圖</b></p><p>  1.3高通濾波電路的設(shè)計(jì)</p><p>  高通濾波器(消除基線漂移)在電路部分加上簡(jiǎn)單的高通濾波環(huán)節(jié),對(duì)隔斷直流通路和消除基線漂移將會(huì)起到事半功倍的效果,本部分電

11、路置于預(yù)放大與信號(hào)放大電路之間,一個(gè)簡(jiǎn)單的無(wú)源高通濾波電路,具體電路如下圖所示:</p><p><b>  圖5:高通濾波器</b></p><p>  其特征頻率(轉(zhuǎn)折頻率)計(jì)算為:</p><p>  經(jīng)過(guò)高通濾波后,可以大大削弱0.03 Hz以下因呼吸等引起的基線漂移程度,心電信號(hào)低頻端也就相應(yīng)地取該頻率。</p><

12、;p>  1.4低通濾波器設(shè)計(jì)</p><p>  心電信號(hào)頻率主要集中在低頻段(0.05Hz—100Hz),在此頻以外還存在著很多對(duì)心電信號(hào)造成干擾的信號(hào)。高通濾波已在上面實(shí)現(xiàn),現(xiàn)在只要做好低通部分就可以實(shí)現(xiàn)帶通濾波。這里我們用運(yùn)放實(shí)現(xiàn)的四階有源濾波器來(lái)實(shí)現(xiàn)截止頻率設(shè)為100Hz的低通濾波器。電路圖如下:</p><p>  圖6:截止頻率為100Hz的低通濾波器</p>

13、;<p><b>  1.5放大電路</b></p><p>  心電信號(hào)雖然通過(guò)前級(jí)電路放大,但電壓幅度還不適合進(jìn)行A/D轉(zhuǎn)換。因此在A/D轉(zhuǎn)換之前,還要對(duì)心電信號(hào)進(jìn)一步放大,使其滿足A/D轉(zhuǎn)換芯片ADC0809的電器要求(輸入電壓為0-5V)。</p><p><b>  圖7前置放大電路</b></p><

14、p><b>  1.6 A/D轉(zhuǎn)換</b></p><p>  ADC0809是帶有8位A/D轉(zhuǎn)換器、8路多路開關(guān)以及微處理機(jī)兼容的控制邏輯的CMOS組件組成,電路圖如下:</p><p>  圖8:8位AD轉(zhuǎn)換電路</p><p><b>  液晶顯示</b></p><p>  libra

15、ry IEEE;</p><p>  use IEEE.STD_LOGIC_1164.ALL;</p><p>  use IEEE.STD_LOGIC_ARITH.ALL;</p><p>  use IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  entity ctrl is</p><p

16、>  Port (sysclk : in std_logic;</p><p>  reset : in std_logic;</p><p>  ad: out std_logic_vector(9 downto 0); --10位地址輸出</p><p>  A0: out std_logic; --設(shè)置控制命令

17、與數(shù)據(jù)</p><p>  CS1:out std_logic; --片選信號(hào)SED1502(1)</p><p>  CS2:out std_logic); --片選信號(hào)SED1502(2) </p><p><b> 

18、 end ctrl;</b></p><p>  architecture Behavioral of ctrl is</p><p>  signal divcnt : std_logic_vector(11 downto 0); --系統(tǒng)計(jì)數(shù)</p><p>  signal data : std_logic_vector(9 downto 0);

19、 --地址輸出</p><p>  signal clk1,clk2,a01,cs11,cs21,flag,csflag : std_logic;</p><p><b>  begin</b></p><p>  process(sysclk,reset) --初始計(jì)數(shù)</p><p><b>  

20、begin</b></p><p>  if reset='0' then</p><p>  divcnt <= (others=>'0');</p><p>  flag<='1';</p><p>  elsif sysclk'event and sy

21、sclk='1' then</p><p>  if divcnt="100000010011" then --10#2067#;</p><p>  flag<='0';</p><p>  divcnt<="000000000000";</p><p&g

22、t;<b>  else</b></p><p>  divcnt <= divcnt + 1;</p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  end process;</p>&

23、lt;p>  data<=divcnt(11 downto 2);--最高10位為地址</p><p>  clk1<=divcnt(0) and flag; </p><p>  clk2<=divcnt(1) and flag;</p><p>  process(clk1,clk2)</p><p><b&

24、gt;  begin</b></p><p>  if clk1'event and clk1='1' then</p><p>  if clk2='0' then</p><p>  csflag<='1';</p><p><b>  else</

25、b></p><p>  csflag<='0'; </p><p>  end if; </p><p><b>  end if;</b></p><p>  end process;</p><p>  process(clk2)</

26、p><p><b>  begin</b></p><p>  if clk2'event and clk2='1' then</p><p>  case conv_integer(data) is</p><p>  when 0|2|4|6|8|10|12|13|138|139|264|265

27、|390|391=>A01<='0';cs11<='0';cs21<='1'; </p><p>  when 1|3|5|7|9|11|75|76|201|202|327|328|453|454=>A01<='0';cs11<='1';cs21<='

28、;0';</p><p>  when 14 to 74=>A01<='1';cs11<='0';cs21<='1';</p><p>  when 140 to 200=>A01<='1';cs11<='0';cs21<='1';&l

29、t;/p><p>  when 266 to 326=>A01<='1';cs11<='0';cs21<='1';</p><p>  when 392 to 452=>A01<='1';cs11<='0';cs21<='1';</p>

30、<p>  when 77 to 137=>A01<='1';cs11<='1';cs21<='0';</p><p>  when 203 to 263=>A01<='1';cs11<='1';cs21<='0';</p><p&g

31、t;  when 329 to 389=>A01<='1';cs11<='1';cs21<='0';</p><p>  when 455 to 515=>A01<='1';cs11<='1';cs21<='0'; </p><p>  wh

32、en others=>A01<='0';cs11<='1';cs21<='1';</p><p><b>  end case;</b></p><p><b>  end if;</b></p><p>  end process;</p&g

33、t;<p><b>  a0<=a01;</b></p><p>  cs1<=cs11 or csflag;</p><p>  cs2<=cs21 or csflag;</p><p><b>  ad<=data;</b></p><p>  end Be

34、havioral;</p><p><b>  圖9原理圖</b></p><p><b>  四、課程設(shè)計(jì)總結(jié):</b></p><p>  通過(guò)這次對(duì)心電圖顯示的設(shè)計(jì)制作,讓我了解了EDA設(shè)計(jì)的基本步驟,也讓我了解了關(guān)于心電圖顯示的原理與設(shè)計(jì)理念。</p><p>  本課題的目標(biāo)是通過(guò)濾波的方

35、法對(duì)心電信號(hào)進(jìn)行提取采集,可應(yīng)用于便攜式心電機(jī)。經(jīng)過(guò)翻閱大量的文獻(xiàn)書籍后,我對(duì)目前通用的心電采集方法有了較為深刻的認(rèn)識(shí)。經(jīng)過(guò)努力,初步完成了硬件的設(shè)計(jì)。</p><p>  在設(shè)計(jì)中遇到了很多問(wèn)題,最后在老師的辛勤指導(dǎo)下,終于游逆而解。同時(shí),我學(xué)得到很多實(shí)用的知識(shí)??傮w來(lái)說(shuō),這次設(shè)計(jì)我受益匪淺.使我對(duì)相關(guān)軟件的運(yùn)用更加熟練。在摸索該如何設(shè)計(jì)程序使之實(shí)現(xiàn)所需功能的過(guò)程中,培養(yǎng)了我的設(shè)計(jì)思維,增加了實(shí)際操作能力.在讓

36、我體會(huì)到了設(shè)計(jì)的艱辛的同時(shí),更讓我體會(huì)到成功的喜悅和快樂(lè).。這次EDA課程設(shè)計(jì),雖然短暫但是讓我得到多方面的提高:</p><p>  1、提高了我們的邏輯思維能力,使我們?cè)诔绦虻母膶懞瓦\(yùn)用上有了很大的進(jìn)步。加深了我們對(duì)Verilog 硬件語(yǔ)言的認(rèn)識(shí),進(jìn)一步增進(jìn)了對(duì)一些常見器件的了解。另外,我們還更加充分的認(rèn)識(shí)到,EDA這門課程在科學(xué)發(fā)展中的至關(guān)重要性。</p><p>  2、查閱參考書

37、的獨(dú)立思考的能力以及培養(yǎng)非常重要,我們?cè)谠O(shè)計(jì)電路時(shí),遇到很多不理解的東西,有的我們通過(guò)查閱參考書弄明白,有的通過(guò)網(wǎng)絡(luò)查到,但由于時(shí)間和資料有限我們更多的還是獨(dú)立思考。</p><p>  3、相互討論共同研究也是很重要的,經(jīng)常出現(xiàn)一些問(wèn)題,經(jīng)過(guò)和同組同學(xué)討論和資料的查詢最終得到了解決。鍛煉了我們的團(tuán)隊(duì)合作精神及分工合作的能力。從老師把課程設(shè)計(jì)的題目給我們之后,我們就開始著手分工以及查閱資料。在整個(gè)設(shè)計(jì)過(guò)程中,我們

38、按照老師給出的總體方案設(shè)計(jì)了一套電路原理。在設(shè)計(jì)過(guò)程中,了解了很多元器件的功能,并且對(duì)于其在電路中的使用有了更多的認(rèn)識(shí)。</p><p>  當(dāng)然這畢竟第一次做,難免會(huì)遇到過(guò)各種各樣的問(wèn)題,同時(shí)也在設(shè)計(jì)的過(guò)程中發(fā)現(xiàn)了自己的不足之處,對(duì)以前所學(xué)過(guò)的知識(shí)理解得不夠深刻,掌握得不夠牢固。這次課程設(shè)計(jì)使我懂得了理論與實(shí)際相結(jié)合的重要性,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來(lái),才能夠真正掌握理論知識(shí)

39、,從而提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力以及團(tuán)隊(duì)合作精神。在實(shí)驗(yàn)時(shí)要能沉得住心,靜下來(lái)仔細(xì)思考,多向老師和同學(xué)請(qǐng)教。</p><p><b>  參考文獻(xiàn):</b></p><p>  [1] 張開滋,劉海樣,吳杰.心電信息學(xué).北京:科學(xué)技術(shù)文獻(xiàn)出版社,1998.4</p><p>  [2] 張亦華 數(shù)字邏輯設(shè)計(jì)實(shí)驗(yàn)技術(shù)與EDA工具 北京

40、郵電出版社[M],2003</p><p>  [3] 喬廬峰VHDL數(shù)字電路設(shè)計(jì)教程 [M] 電子工業(yè)出版社,2005.9</p><p>  [4] 楊剛 現(xiàn)代電子技術(shù)-VHDL與數(shù)字系統(tǒng)設(shè)計(jì)[M] 電子工業(yè)出版社,2004.4</p><p>  [5] 張石 王軍輝 張帷 董建威 用于遠(yuǎn)程無(wú)線心電監(jiān)護(hù)儀的心電信號(hào)采集電路的設(shè)計(jì) 沈陽(yáng):東北大學(xué) 2007<

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論