2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩7頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、1《EDAEDA技術應用》課程標準技術應用》課程標準一、概述一、概述(一)、課程性質:EDA技術是以計算機為工作平臺、以硬件描述語言(VHDLVerilogHDL)為設計語言、以可編程器件(CPLDFPGA)為實驗載體、以ASICSOC芯片為目標器件、進行必要的元件建模和系統(tǒng)仿真的電子產品自動化設計過程。它是一種高級、快速、有效的電子設計自動化工具。掌握EDA技術是培養(yǎng)高素質高技能電子產品設計人才的需要,是現(xiàn)代集成電路及電子整機系統(tǒng)設計

2、科技創(chuàng)新和產業(yè)發(fā)展的關鍵技術。掌握EDA技術,是走向市場、走向社會、走向國際的基本技能。開展《EDA技術與應用》教學,適應電子系統(tǒng)日趨數(shù)字化、復雜化和大規(guī)模集成化發(fā)展的需要,滿足社會對高技能人才日益增長的需求,為創(chuàng)新性人才的培養(yǎng)打下良好基礎己迫在眉睫。根據(jù)上述設想決定開設EDA技術課程,并定名為《EDA技術應用》。(二)課程基本理念:本課程是應用電子技術專業(yè)的專業(yè)課,要求學生通過本課程的學習和實驗,初步掌握常用EDA工具的使用方法、FP

3、GA的開發(fā)技術以及VHDL語言的編程方法。能比較熟練地使用QuartusII等常用EDA軟件對FPGA和CPLD作一些簡單電路系統(tǒng)的設計,同時能較好地使用VHDL語言設計簡單的邏輯電路和邏輯系統(tǒng),學會行為仿真、時序仿真和硬件測試技術,為現(xiàn)代EDA工程技術的進一步學習,ASIC器件設計以及超大規(guī)模集成電路設計奠定基礎。在《EDA技術應用》專業(yè)教室進行專業(yè)課程的學習,學習可借助專業(yè)教室完善的硬件功能,直接完主要的實訓任務,真正做到“學以致用

4、”,從而完全克服了傳統(tǒng)教學模式中專業(yè)課教學與實訓在空間和時間上脫節(jié)的弊端。(三)、課程設計思路:本課程通過《EDA技術應用》專業(yè)教室的環(huán)境,為學生提供“用理論及時指導實踐,用實踐驗證理論”良好機會,有利于提高教學效果;同時由于專業(yè)教室在設置時就充分考慮了行業(yè)的主流技術以及崗位能力的需求,學生在專業(yè)教室學習,即可直接獲取綜合職業(yè)技能,利于實現(xiàn)以就業(yè)為導向的培養(yǎng)目標。本課程的教學中注重理論教學與實踐教學相結合,將教學內容的知識點分為了解、理

5、解、掌握、熟悉幾個層次,將技能和能力實踐分為學會、懂得、熟練幾個層次,以實例講解基本理論,加強現(xiàn)場技能培訓、重點培養(yǎng)學生的掌握綜合電路設計能力。突出新技術、新知識、新技能、新產品的學習。重點分析具有代表性的典型應用,將傳授知識和技能貫穿與實踐指導中,避免成為單一的講授或單一的操作指導。通過安排典型電路實例的安裝制作,綜合地應用理論知識學習和對實際電路的認識,達到對常用EDA工具的使用與VHDL語言的編程方法的全面認識和把握。本課程標準的

6、總體設計思路:變三段式課程體系為任務引領型課程體系,打破傳統(tǒng)的文化基礎課、專業(yè)3二、課程目標二、課程目標通過任務引領型的項目活動,使學生掌握電子EDA設計技術的基本知識和基本技能,具有邏輯思維能力、學習新技術的能力。能解決生產與應用中的實際問題,完成本專業(yè)相關崗位的工作任務。通過對本課程的學習,學生能較好地掌握全新的硬件電子系統(tǒng)的設計技術,更深刻地了解計算機軟件語言與硬件語言實現(xiàn)的現(xiàn)代電子系統(tǒng)設計能力。通過理論學習與實踐設計鍛煉的緊密結

7、合,提高理論與工程實際相結合的能力,為未來進一步的學習和工作實踐奠定良好基礎。三、內容標準三、內容標準(一)概論介紹現(xiàn)代EDA技術,VHDL概況,介紹自頂向下的系統(tǒng)設計方法以及FPGA和CPLD的基本技術,要求對現(xiàn)代EDA技術及實現(xiàn)工具的使用方法和發(fā)展情況有一初步了解。(二)EDA設計流程及工具首先介紹基于EDA軟件的FPGACPLD開發(fā)流程和ASIC設計流程,然后分別介紹與這些設計流程中各環(huán)節(jié)密切相關的EDA工具軟件,最后簡述Quar

8、tusII的基本情況和IP。(三)FPGACPLD結構與應用主要介紹幾類常用的大規(guī)??删幊踢壿嬈骷慕Y構和工作原理。對CPLD的乘積項原理和FPGA的查找表原理分別進行剖析。最后介紹相關的編程下載和測試技術。(四)VHDL設計初步通過數(shù)個簡單、完整而典型的VHDL設計示例,使學生初步了解用VHDL表達和設計電路的方法,并對由此而引出的VHDL語言現(xiàn)象和語句規(guī)則能逐步趨向系統(tǒng)的了解。(五)QuartusII應用向導通過實例,詳細介紹基于Q

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論